TECHNIQUE ET INGÉNIERIE -...

378
CONVERTISSEURS ET ÉLECTRONIQUE DE PUISSANCE Michel Pinard SÉRIE | EEA Commande • Description Mise en œuvre

Transcript of TECHNIQUE ET INGÉNIERIE -...

  • TECHNIQUE ET INGNIERIESrie EEA

    Michel Pinard

    M. PIN

    AR

    DC

    ON

    VER

    TISSEUR

    S ET LECTR

    ON

    IQU

    ED

    E PUISSA

    NC

    E

    CONVERTISSEURS ET LECTRONIQUEDE PUISSANCECommande Description Mise en uvre

    MICHEL PINARD

    Chevalier dans lOrdredes Palmes Acadmiques,professeur agrg dephysique applique,ancien lve de lcolenormale suprieure deCachan, il enseigneaujourdhui llectroniquede puissance l'ESIEEainsi quau centrergional du CNAM de Clichy. Il tudie pour le comptedindustriels des systmes novateurs dans le domaine dellectronique depuissance.

    CONVERTISSEURSET LECTRONIQUEDE PUISSANCE

    Michel PinardSRIE | EEA

    GESTION INDUSTRIELLE

    CONCEPTION

    FROID ET GNIE CLIMATIQUE

    MCANIQUE ET MATRIAUX

    CHIMIE

    ENVIRONNEMENT ET SCURIT

    EEA

    Cet ouvrage dresse un large panorama de llectronique de puissance :aspects fondamentaux et rsultats exprimentaux, quipements etmatriels, outils de conception et mise en uvre en milieu industriel.Cest dans un esprit rsolument pragmatique que sont ainsi prsents : les systmes lectroniques de commande, crateurs et

    transmetteurs, analogique et numrique ; les diffrents types de convertisseurs, leurs principes de

    fonctionnement et leurs comportements dans les conditions idalespuis relles ;

    leurs performances, grce notamment la souplesse des systmesde commande, mais aussi leurs fragilits (en particulier en rgimetransitoire) ;

    les outils logiciels (SIMULINK, PSpice et LabVIEW) mmedaccrotre la connaissance de leurs comportements et laperformance des systmes.

    En annexes sont dcrits plus en dtail les outils mathmatiques etinformatiques de llectronique de puissance. De trs nombreuxexemples et tudes de cas illustrent le propos du livre, ainsi vritableoutil de rfrence, de travail et dapprentissage pour tous lesprofessionnels ou futurs professionnels de llectrotechnique et delautomatique : ingnieurs, techniciens et lves ingnieurs, maisaussi tudiants en 3e cycle.

    6637334ISBN 978-2-10-049674-7 www.dunod.com

    Commande DescriptionMise en uvre

    NordCompoFichier en pice jointe9782100496747_couverture.jpg

  • C

    ONVERTISSEURSET LECTRONIQUE

    DE PUISSANCE

    prelim Pinard Page I Mardi, 20. fvrier 2007 3:39 15

  • lectronique, lectrotechnique, automatique,aux ditions DUNOD

    Acquisition de donnes

    , 2

    e

    d., Asch et coll., 528 p., 2003

    Les capteurs en instrumentation industrielle

    , 6

    e

    d., Asch et coll., 864 p., 2006

    Radiocommunications numriques

    (en deux volumes), Baudoin et Villegas, 640 p. et 464 p., 2002

    Schmas et circuits lectroniques

    (en deux volumes), 5

    e

    d., Bourgeron, 512 p. et 536 p., 2005

    Les spcifications fonctionnelles - Automatismes industriels en temps rel,

    Brenier, 432 p., 2001

    Maintenance lectrotechnique,

    Brown, Rawtani et Patil, 296 p., 2006

    Compatibilit lectromagntique,

    2

    e

    d., Charoy, 696 p., 2005

    Parasites et pertubations des lectroniques - CEM

    (en quatre volumes) 2

    e

    d., Charoy, 192 p., 2007

    LabVIEW 6.1- Programmation et applications,

    Cottet, 432 p., 2001

    Systmes temps rel de contrle-commande,

    Cottet et Grolleau, 568 p., 2005

    lectronique applique aux hautes frquences, principes,

    De Dieuleveult, 440 p., 2001

    Principes et applications de l'lectronique

    (en 2 volumes), De Dieuleveult, 344 p. et 384 p., 1997

    Tracs des circuits imprims

    , 2

    e

    d., Dunand, 128 p., 2000

    Micro et nano-lectronique

    , Fanet, 416 p., 2006

    Perturbations harmoniques,

    Flice, 192 p., 2001

    Le Bus USB,

    3

    e

    d. (+ CD-Rom), Fenard, 160 p., 2007

    lectricit pratique,

    Fouchet, 392 p., 1984

    lectronique pratique

    , 2

    e

    d., Fouchet, 448 p., 1986

    Instrumentation industrielle,

    Grout, 544 p., 2002

    Les antennes,

    3

    e

    d., Houz, 376 p., 2006

    nergie solaire photovoltaque ,

    2

    e

    d

    ,

    Labouret, 312 p., 2005

    Systmes lectroniques embarqus et transport,

    Louvel, 336 p., 2006

    Aide-mmoire des composants lectroniques,

    3

    e

    d., May, 184 p., 2005

    Les alimentations lectroniques

    , 2

    e

    d., May, 480 p., 2006

    Moteurs lectriques industriels,

    May, 392 p., 2005

    Moteurs lectriques pour la robotique

    , 2

    e

    d.,

    May, 296 p., 2006

    Applications en identification radiofrquence et cartes puces sans contact,

    Paret, 424 p., 2003

    Identification et traabilit en UHF-SHF,

    Paret, 550 p., AP 2007

    Identification radiofrquence et cartes puce sans contact - Description,

    Paret, 336 p., 2001

    Le bus CAN - Applications,

    Paret, 368 p., 2001

    Rseaux multiplexs pour systmes embarqus,

    Paret, 400 p., 2005

    Scurit et automatique,

    PILZ, 328 p., 2005

    Commande lectronique des moteurs lectriques,

    Pinard, 600 p., 2004

    Pratique des oscilloscopes,

    Reghinot et Becker, 368 p., 1984

    VHDL : mthodologie de design et techniques avances,

    Schneider, 304 p., 2001

    300 schmas d'alimentation

    , 2

    e

    d., Schreiber, 256 p., 1998

    400 nouveaux schmas radiofrquences,

    Schreiber, 368 p., 2000

    Guide pratique de la CEM,

    Tavernier, 208 p., 1999

    Les cartes puce

    (+ CD-Rom), 2

    e

    d., Tavernier, 360 p., 2007

    Les microcontrleurs PIC

    (+ 2 CD-Rom) -

    Description et mise en uvre

    , 2

    e

    d., Tavernier, 224 p., 2002

    Microcontrleurs PIC : programmation en Basic

    (+ CD-Rom), Tavernier, 272 p., 2006

    Microcontrleurs PIC - Recueil d'applications

    (+ CD-Rom) / 2

    e

    d., Tavernier, 368 p., 2005

    Programmation en C des PIC

    (+ CD-Rom), Tavernier, 224 p., 2005

    Automatisation en hors procds,

    Vogt, 320 p., 2003

    Automatisation en hors procds,

    Vogt, 320 p., 2003

    Aide-mmoire dlectrotechnique,

    May, 320 p., 2007

    Guide pratique de la mesure lectrique,

    Peutot, Flice, Kholer, 450 p., 2007

    Guide de la protection lectrique des quipements,

    Hewitson, 336 p., 2007

    Gnie lectrotechnique,

    Warne, 544 p., 2007

    PSPICE (+ CD-ROM) - Mthodologie dutilisation et techniques avances,

    288 p., 2007

    pcoll/EEA-maj02/07 Page I Mardi, 20. fvrier 2007 4:11 16

  • MICHEL PINARD

    C

    ONVERTISSEURSET LECTRONIQUE

    DE PUISSANCE

    Commande, description,mise en uvre

    Applications avec LabVIEW

    prelim Pinard Page III Mardi, 20. fvrier 2007 3:39 15

  • Dunod, Paris, 2007ISBN 978-2-10-049674-7

    prelim Pinard Page IV Mardi, 20. fvrier 2007 3:39 15

  • V

    Table des matires

    TABLE DES MATIRES

    Introduction 1

    A

    Systmes lectroniques de commande

    1 Commande analogique et numrique. Utilisation de composants programmables 51.1 Commande analogique de convertisseurs dcoupage 5

    1.2 Commande analogique de convertisseurs thyristors 10

    1.3 Commande numrique 13

    1.4 tude de composants de commande numrique 17

    1.5 Le DSP TMS320LF2407 de Texas Instruments 21

    1.6 Exemple de commande de MLI bipolaire par composant programmable 31

    1.7 Utilisation du logiciel LabVIEW 37

    2 Composants lectroniques. Utilisation en interrupteurs de puissance 412.1 Composants et interrupteurs de puissance 41

    2.2 Interrupteurs rels de puissance 44

    2.3 Caractristiques techniques des composants (data sheets) 54

    2.4 Dissipation thermique des composants 60

    2.5 Utilisation du logiciel PSpice 65

    2.6 Tableaux de caractristiques de composants 68

    3 Les interfaces de commande des composants interrupteurs. Drivers. Dclencheurs 753.1 Interface signal-puissance 75

    3.2 Drivers pour transistors 76

    3.3 Dclencheurs pour thyristors ou triacs 83

    3.4 Utilisation du logiciel LabVIEW 88

  • VI

    Table des matires

    B

    Convertisseurs : Description

    4 Approche thorique des convertisseurs de puissance 934.1 Structure matricielle des convertisseurs 93

    4.2 tude plus particulire des convertisseurs classiques 101

    4.3 Convertisseurs continu-alternatif 108

    4.4 tude du changeur de frquence 109

    5 Les convertisseurs statiques 1155.1 Prsentation 115

    5.2 Les hacheurs (choppers) 119

    5.3 Les onduleurs autonomes (inverters) 127

    5.4 Les redresseurs (rectifiers) 153

    6 Fonctionnement pratique des convertisseurs 1676.1 Les rgimes transitoires 167

    6.2 Rgimes transitoires dans les hacheurs 178

    6.3 Les alimentations dcoupage (switched power DC supplies) 191

    6.4 Rgimes transitoires dans les onduleurs 196

    6.5 Rgimes transitoires dans les redresseurs thyristors 200

    6.6 Les gradateurs 209

    6.7 Utilisation des logiciels PSpice et LabVIEW 215

    C

    Convertisseurs : tudes de cas

    7 tude de systmes rels 2237.1 Lanalyse et le contrle des systmes 223

    7.2 Les capteurs dans un systme 226

    7.3 Modlisation en boucle ouverte dun systme 232

    7.4 tude en boucle ferme dun systme 238

    7.5 Systme hacheur-moteur courant continu en boucle ferme 249

    7.6 Systme redresseur-moteur courant continu 253

    7.7 Systme onduleur-moteur asynchrone ou synchrone triphas 258

  • VII

    Table des matires

    8 Conception de convertisseurs 2698.1 Analyse et synthse de convertisseurs 269

    8.2 Action des parasites sur la commande dun systme 271

    8.3 Alimentation des convertisseurs 274

    8.4 Amlioration de lutilisation des convertisseurs 287

    8.5 Aide la conception du cahier des charges 290

    8.6 Aide la ralisation dun prototype 293

    8.7 Simulation dun systme complet sur Simulink 296

    9 Critres de choix de convertisseurs industriels 3019.1 Dfinir une dmarche 301

    9.2 Normes relatives lalimentation des convertisseurs par le rseau alternatif 302

    9.3 Critres techniques de rception dun convertisseur industriel 307

    9.4 tude dun compensateur dharmoniques industriel 310

    9.5 tude dun onduleur Sysdrive de marque Omron 313

    9.6 tude dun onduleur Eurotherm Drives pour moteur asynchrone 317

    9.7 tude dun convertisseur Eurotherm Drives pour moteur synchrone 318

    9.8 Mesures effectues sur un onduleur industriel 319

    Annexe mathmatique 325A.1 Les grandeurs relatives la transmission de la puissance 325

    A.2 Les grandeurs intervenant en rgime sinusodal monophas 326

    A.3 Les grandeurs intervenant en rgime sinusodal triphas 326

    A.4 Cas o la commande est sous forme dimpulsions 327

    A.5 Transforme de Laplace 336

    A.6 Dveloppement en srie de Fourier. Applications 338

    A.7 Applications du dveloppement en srie de Fourier dans le cas de tensions et de courants non sinusodaux 341

    A.8 Modulation de largeur dimpulsions (MLI) 343

    A.9 Rseaux de Ptri et applications 350

    A.10 Graphe informationnel de causalit 354

    Bibliographie 359

    Index 361

  • 1

    A

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    INTRODUCTION

    Cet ouvrage sintresse llectronicien de puissance, dont la proccupation est degrer une transmission de la puissance dans des convertisseurs grce unecommande compose de signaux lectroniques.Llectronique de puissance nest possible que par le composant lectronique, cra-teur ou transmetteur de signal. Cest ainsi que lon expose dans le premier chapitreles commandes des convertisseurs partir dun composant analogique (amplifica-teur oprationnel) ou numrique (PIC, DSP ou FPGA). On obtient ainsi lessignaux qui vont aller vers les composants de puissance.Ensuite, les composants seront les interfaces ou drivers reliant la petite puis-sance des signaux de commande la forte puissance obtenue par commutationdans les transistors MOS ou IGBT ou bien les triacs et, bien sr, les thyristors.Louvrage a pour ambition de prsenter, de la manire la plus complte possible, lefonctionnement et les formulaires dtaills concernant les principaux convertis-seurs, quil y ait ou non rversibilit :

    hacheurs transistors, thyristors; onduleurs transistors; redresseurs diodes, thyristors; gradateurs triac ou thyristors.

    Dans le cas des onduleurs, lauteur sest intress tout particulirement la modu-lation de largeur dimpulsions, sa commande et ses effets.Ltude des perturbations introduite par les interrupteurs est aborde, avec uneprsentation de la compatibilit lectromagntique (CEM), des filtrages possibles,et surtout des systmes permettant la rduction des harmoniques de courant durseau.Les rgimes transitoires jouent un rle important dans le comportement desconvertisseurs. Lauteur sest efforc de montrer comment un contrle et uneprotection efficaces des composants de puissance peuvent viter leur destruction.Il a poursuivi en dveloppant son discours sur les convertisseurs prcdents, desrsultats obtenus exprimentalement ou par simulation, jusqu laspect le plusconcret et le plus industriel possible de leur application.Cela explique les trois principales parties du livre :

    Partie A : on sintresse aux systmes lectroniques de commande qui permet-tent une action sur le transfert de puissance, soit par un oprateur, soit automa-tiquement.

  • 2

    Introduction

    Partie B : on prsente les divers types de convertisseurs possibles et on tudieleurs caractristiques.

    Partie C : on considre des convertisseurs industriels, en montrant leurs qualitset leur limite dutilisation.

    Les dveloppements mathmatiques sont prsents en Annexe, en fin douvrage.Nous y renvoyons le lecteur chaque fois que cest ncessaire.Certains chapitres ainsi que lAnnexe sont complts par une analyse de certainesfonctions (spectre de Fourier) ou de fonctionnement (tude de formes donde) deconvertisseurs en utilisant trois logiciels diffrents : Simulink, Pspice et LabVIEW.Le choix de ces logiciels a surtout un but pdagogique.Cet ouvrage sadresse aussi bien aux lves ingnieurs, aux tudiants de matrise oude troisime cycle, quaux industriels qui sintressent aux opportunits et aussi auxdifficults rencontres dans la commande et le fonctionnement des convertisseurs.Je ddie cet ouvrage mes deux petites filles Ariane et Maud, nes dans ce XXIe sicleprometteur en avances scientifiques et technologiques.

  • ASystmes lectroniques

    de commande

  • 5

    A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 COMMANDE ANALOGIQUEET NUMRIQUE. UTILISATION

    DE COMPOSANTS PROGRAMMABLES

    1.1 Commande analogique de convertisseurs dcoupage

    1.1.1 Principe de la commande des convertisseurs dcoupage

    En lectronique de puissance, on utilise de plus en plus des convertisseurs utilisantdes interrupteurs lectroniques fonctionnant soit ltat passant (ou ferm), soit ltat bloqu (ou ouvert). Le passage dun tat lautre seffectue priodiquement.On note Te cette priode. On dit que le convertisseur fonctionne en dcoupage si la priode Te est trs faible devant T, celle des sources de puissance utilises parle convertisseur (voir le chapitre 5). En pratique, on choisit une priode Te entre

    et selon les composants lectroniques utiliss.

    Dans le cas des redresseurs ou des gradateurs, la priode Te est un sous-multiplesimple de la priode T de la source alternative dalimentation. En pratique, on aTe = T/2 ou T/3.Les fonctions triangulaires, quelles soient symtriques ou asymtriques, servent la commande des composants constituant ces convertisseurs. La tension ve(t) estcompare une tension continue de contrle Uc pour obtenir la variation du trans-fert de puissance (voir chapitre 5).

    1.1.2 Principe de la commande analogique

    En premire tude, il est ncessaire dlaborer un signal (ou plusieurs signaux) decommande pour agir sur les interrupteurs (voir les chapitres 2 et 3). Il sagit le plussouvent de crer des fonctions, triangulaire symtrique tri(t), triangulaires asym-triques ou dents de scie scie_m(t), scie_d(t) ou mme triangulaires asymtriquesdcales scie_dec_m(t) et scie_dec_d(t) par un montage lectronique (voir annexemathmatique en fin douvrage).

    =100eT

    T =1 000e

    TT

  • 6

    1.1 Commande analogiquede convertisseurs dcoupage

    1 Commandeanalogique et numrique

    Ces fonctions sont obtenues sous forme de tension (note ve(t)). Ce signal decommande est alors analogique. On fait trs souvent appel des amplificateurs opra-tionnels.Dans cet ouvrage, on prfre prsenter un schma synoptique pour chacune desfonctions triangulaires, en utilisant des schmas blocs.

    1.1.3 Ralisation de la fonction triangulaire tri(t)

    Pour raliser la fonction triangulaire symtrique tri(t), on utilise un amplificateurinverseur, un intgrateur, et un comparateur hystrsis. Le schma bloc est prsent la figure 1.1.

    Selon ltat du comparateur hystrsis, la tension vs(t) vaut + Vsat ou Vsat. Lesniveaux de basculement sont VH et + VH. Le signal de commande ve(t) variedonc entre VH et + VH. Lamplificateur est de gain k.

    On a , ce qui correspond une intgration. Si vs vaut Vsat, on

    obtient :

    = k Vsat t

    Le basculement a lieu pour ve = VH et pour t = Te/4.

    Figure 1.1 Schma bloc pour obtenir le signal triangulaire tri(t).

    = -( )

    ( )e

    s

    V s k

    V s s

    = - ( ) ( )de sv t k v t t

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.1 Commande analogiquede convertisseurs dcoupage

    7

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    Soit k Vsat Te = 4 VH.

    On obtient ainsi le signal de commande ve(t) = VH tri(t) avec .

    1.1.4 Ralisation des fonctions triangulaires asymtriques scie_m(t) et scie_d(t)

    On utilise encore un amplificateur inverseur, un intgrateur, et un comparateur hystrsis.Mais, pour la fonction scie_m(t), un amplificateur de gain k. est rserv la monte,tandis que la descente (trs rapide) est obtenue par un autre amplificateur de gain kbeaucoup plus lev (k >> k). Un commutateur analogique command par lesigne de vs(t) fait agir lun ou lautre amplificateur. Le schma bloc est prsent lafigure 1.2.

    On a toujours = k Vsat t.Le basculement a lieu pour ve = VH et pour t = Te/2.Le signal de commande est donc ve(t) = VH scie_m(t).

    =

    4 He

    sat

    VT

    k V

    Figure 1.2 Schma bloc pour obtenir le signal triangulaire scie_m(t).

    = - ( ) ( )de sv t k v t t

  • 8

    1.1 Commande analogiquede convertisseurs dcoupage

    1 Commandeanalogique et numrique

    On a alors k Vsat Te 2VH, soit approximativement si k >> k.

    Pour la fonction scie_d(t), lamplificateur de gain k. est rserv la descente, tandisque la monte est obtenue par un autre amplificateur de gain k beaucoup pluslev (k >> k).

    On obtient encore approximativement si k >> k. On obtient alors

    le signal de commande :

    ve(t) = VH scie_d(t)

    1.1.5 Ralisation des fonctions triangulaires asymtriques dcales scie_dec_m(t) et scie_dec_d(t)

    On utilise encore le diagramme prcdent. Mais on a modifi le cycle dhystrsis.Selon ltat du comparateur hystrsis, la tension vs(t) vaut + Vsat ou Vsat. Lesniveaux de basculement sont 0 et + VH. Le signal ve(t) varie donc entre 0 et + VH.Dans le cas de la fonction scie_dec_m(t), on procde comme pour la fonctionscie_m(t) : un amplificateur de gain k est rserv la monte, tandis que ladescente (trs rapide) est obtenue par un autre amplificateur de gain k beaucoupplus lev (k >> k). Un commutateur analogique command par le signe de vs(t)fait agir lun ou lautre amplificateur.

    On a encore = k Vsat t. On obtient alors le signal de commande :

    ve(t) = VH scie_dec_m(t)

    Le basculement a lieu pour ve = VH et pour t = Te.

    On a alors k Vsat Te = VH, soit approximativement si k >> k.

    Dans le cas de la fonction scie_dec_d(t), on procde comme pour la fonctionscie_d(t) : un amplificateur de gain k. est rserv la descente, tandis que la monteest obtenue par un autre amplificateur de gain k beaucoup plus lev (k >> k). Lecomparateur hystrsis est identique celui du cas prcdent. On obtient approxi-

    mativement si k >> k. Alors le signal de commande sera ve(t) =

    VH scie_dec_d(t).

    1.1.6 Ralisation pratique de la fonction d(t)

    Voir annexe mathmatique en fin douvrage.On rappelle le cas considr la figure 1.3.

    =

    2 He

    sat

    VT

    k V

    =

    2 He

    sat

    VT

    k V

    = - ( ) ( )de sv t k v t t

    =

    He

    sat

    VT

    k V

    =

    He

    sat

    VT

    k V

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.1 Commande analogiquede convertisseurs dcoupage

    9

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    On a :

    z(t) = sign [u(t)] = sign [g(t) scie_dec_m(t)]

    y(t) = 0,5 [z(t) + 1] = d(t)

    On rappelle que la forme donde impulsionnelle de dcoupage d(t), est une gran-deur forme dimpulsions de niveau 0 ou 1 disposes selon des intervalles detemps rguliers et constants (priode Te) une largeur Te souvent variable 0 < < 1.Cette forme donde permet la commande des hacheurs. Cest la fonction gnra-trice g(t) qui impose le rapport cyclique . On obtient tout simplement :

    avec 0 g 1, t

    En pratique, la place de d(t), on considre la tension ve(t) = VH scie_dec_m(t)(voir 1.1.4). On considre galement le signal de commande Uc, une tensioncontinue choisie gnralement telle que :

    Uc = g VH

    Cela donne :

    ve(Te) = Uc

    Comme ve(t) est proportionnelle t sur lintervalle [0,Te] le rapport cyclique estproportionnel la tension de commande Uc.

    Figure 1.3 Gnration dune forme donde impulsionnelle.

    ( ) ( ) =t g t

  • 10

    1.2 Commande analogiquede convertisseurs thyristors

    1 Commandeanalogique et numrique

    1.2 Commande analogique de convertisseurs thyristors

    1.2.1 Principe de la commande des convertisseurs thyristors

    Les convertisseurs thyristors sont utiliss depuis plusieurs dcennies. Chaquethyristor est soit ltat passant (ou ferm), soit ltat bloqu (ou ouvert). Lepassage dun tat lautre seffectue priodiquement. La priode Te est un sous-multiple entier simple de la priode T, de la source dalimentation du convertis-

    seur. (En pratique, on utilise ou selon le convertisseur utilis.)

    1.2.2 Ralisation dun signal analogique de commande Arc cosinus pour redresseur thyristors

    On se limite ici au cas o le redresseur thyristors intervient sur une source alter-native monophase ou triphase de puissance, de forme donde sinusodale.Il sagit dobtenir un rglage dun angle de retard (dit angle de retard lamor-age dun thyristor), par rapport lorigine de langle = t impose par la (ouune) tension sinusodale de rfrence vs(t) de la source de puissance :

    La source de rfrence vs(t) est donne par .Il est pratique pour la commande des thyristors dobtenir une variation de en

    fonction dune tension continue de commande Uc telle que

    o UM est la valeur maximale de rglage de la tension Uc. On a

    alors UM Uc + UM. On dit alors que la commande est du type Arc cosinus .Dans la suite de cet ouvrage, on sera souvent amen introduire la grandeur sans

    dimension avec 1 x + 1.

    Le schma bloc dun montage ralisant la commande Arc cosinus est prsent la figure 1.4.On intgre la tension de rfrence vs(t) pour obtenir une fonction cosinus . Unisolement galvanique est indispensable (par transformateur ou optocoupleur). Lecoefficient k est un facteur dchelle et doit tre ngatif. Il inclut la fois lerapport de transformation m du transformateur disolement, et le rglage reg duniveau (par potentiomtre le plus souvent) du signal lentre de lintgrateur,not 1/s. Cela donne k = reg m.

    On a alors = . Cette tension est compare latension continue de commande Uc. Le basculement a lieu pour Uc = ve(). Sur lafigure 1.4, il correspond linstant t0 tel que = t0. Cela permet dcrire que :

    =2eT

    T =3eT

    T

    ( ) = 2 sins sv t V

    = Arc cos c

    M

    U

    U

    - = 1cos c

    M

    U

    U

    = cM

    Ux

    U

    = - ( ) ( )de sv t k v t t 2 cosskV

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.2 Commande analogiquede convertisseurs thyristors

    11

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    En sortie du comparateur, la fonction c(t) vaut 1 si Uc > ve(t) et 0 dans le cascontraire. On multiplie c(t) avec la fonction signe de vs(t) = (sign(vs) + 1)/2 qui vaut 1 si vs(t) > 0, et 0 dans le cas contraire.On obtient ainsi un signal :

    Valid(t) = c(t) signe de vs(t) si lon utilise un multiplicateur analogique commeindiqu la figure 1.4 ;

    Valid(t) = c(t) ET signe de vs(t) si lon utilise un ET oprateur logique.

    Lorsque Valid(t) vaut 1 , le gnrateur fournit un peigne dimpulsions quiest envoy vers la (ou les) gchette(s) des thyristors. Il est ainsi possible de rglerlamorage des thyristors selon . En pratique, le peigne passe par un transforma-teur dimpulsions dont le secondaire est reli la gchette du thyristor.

    Figure 1.4 Schma bloc dune commande Arc cosinus .

    ( ) - - = = = 1 1Arc cos cos cos

    2c c

    Ms

    U Ux

    UkV

  • 12

    1.2 Commande analogiquede convertisseurs thyristors

    1 Commandeanalogique et numrique

    On obtient ainsi un double isolement galvanique : du ct de la source de puissance grce au transformateur de rapport m ; du ct du convertisseur thyristors grce au transformateur dimpulsions.

    1.2.3 Ralisation dun signal analogique de commande pour gradateur thyristors ou triac

    On se limite au cas o le gradateur intervient sur une source alternative mono-phase ou triphase de puissance, de forme donde sinusodale.Il sagit dobtenir un rglage dun angle de retard (dit angle de retard lamor-age exprim en radians), par rapport lorigine de langle = t impose par la(ou une) tension sinusodale de rfrence vs(t) de la source de puissance. La fonc-tion utile est la fonction dcoupage d(t) (voir figure 1.5).

    La source de rfrence vs(t) est donne par .On cherche une commande pour obtenir une commande dcoupage d(t) ola variation de en fonction dune tension continue de commande Uc est telle que

    . UM est la valeur maximale et 0 < Uc < UM. Ainsi, la puis-

    sance fournie par le gradateur est une fonction croissante de la tension Uc.On ralise cette commande partir de la fonction scie_dec_d(t) la fonction dentsde scie dcale descendante variant entre + 1 et 0, de priode Te = T/2 telle que :

    si 0 < t < Te modulo Te

    Cela donne le schma bloc dun montage ralisant la commande, prsent lafigure 1.5.On utilise la tension de rfrence vs(t) pour obtenir une fonction UM scie_dec_d(t)en synchronisme, cest--dire : de priode Te = T/2, impose par lalimentation vs(t); une origine des temps commune telle vs(0) = 0 avec une drive > 0, et scie_dec_d(0)

    = 1.La tension UM scie_dec_d(t) est compare la tension continue de commande Uc.Le basculement a lieu pour Uc = ve(). Sur la figure 1.5, il correspond linstant t0tel que = t0. Cela permet dcrire que :

    En sortie du comparateur, la fonction c(t) vaut 1 si Uc > ve(t) et 0 dans le cascontraire. On multiplie c(t) avec la fonction signe de vs(t) = (sign(vs) + 1)/2 qui vaut 1 si vs(t) > 0, et 0 dans le cas contraire.

    ( ) = 2 sins sv t V

    = - 1 c

    M

    U

    U

    ( ) = - _ _ 1 et

    scie dec d tT

    ( )

    = - = - 1 1c

    M

    Ux

    U

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.3 Commande numrique

    13

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    On obtient ainsi un signal : Valid(t) = c(t) signe de vs(t) = d(t) si lon utilise un multiplicateur analogique

    comme indiqu la figure 1.4 ; Valid(t) = c(t) ET signe de vs(t) = d(t) si lon utilise un ET oprateur

    logique.Lorsque Valid(t) vaut 1 , le gnrateur fournit un peigne dimpulsions quiest envoy vers la (ou les) gchette(s) des thyristors ou du triac. Il est ainsi possiblede rgler lamorage des interrupteurs thyristors ou du triac selon . En pratique,le peigne passe par un transformateur dimpulsions dont le secondaire est reli lagchette des interrupteurs.

    1.3 Commande numrique1.3.1 Principe de la commande numrique

    La commande numrique permet galement la ralisation de signaux de commandede manire plus fiable quavec des montages utilisant llectronique analogique. Ellefait appel des circuits intgrs programmables.

    Figure 1.5 Schma bloc dune commande gradateur .

  • 14

    1.3 Commande numrique1 Commandeanalogique et numrique

    Elle doit aussi inclure des entres de contrle (de courant, de vitesse, de temp-rature) sous forme de signal logique ou numrique, pour protger le systmecommand.Pratiquement, on distingue deux types de circuits programmables : les circuits utilisant des processeurs tels que microcontrleur, le PIC (Program-

    mable Interrupt Controler) ou le DSP (Digital Signal Processor). Ce qui caractriseces circuits, cest que la programmation seffectue soit en langage assembleur,soit en langage C . Larchitecture de ces composants tant dj ralise par leconstructeur, on ne peut que modifier le programme pour adapter le compo-sant la commande souhaite du convertisseur de puissance (voir exemple DSPau 1.5);

    les circuits intgrs directement programmables de type FPGA (Field ProgrammableGate Array). Ce qui caractrise ces circuits, cest que la programmation seffectuegnralement en langage VHDL (Very High Density Language). Lutilisateur cons-truit lui-mme larchitecture de son composant en prvoyant les fonctions decommande et de contrle souhaites (voir exemple XILINX au 1.6).

    Dans le premier cas, le programme est enregistr dans une mmoire vive (type RAM)ou morte (type PROM, ou EPROM, ou EEPROM). Cette mmoire est soit interne,soit externe au processeur.Dans le deuxime cas, le programme crit en VHDL consiste raliser des fonctionsde logique combinatoire ou squentielle. Cette ralisation est obtenue en fin deprogrammation du FPGA. Le circuit fonctionne ensuite comme un circuit lec-tronique (voir figure 1.6).

    La commande numrique est destine faire varier une ou plusieurs grandeurs essen-tielles intervenant dans un convertisseur de puissance.

    1.3.2 Commande numrique de type Arc cosinus

    On a tudi au 1.2.2 la commande lectronique des redresseurs thyristors de type Arc cosinus pour obtenir une relation linaire pour la dtermination du transfertde la puissance. Ces convertisseurs sont synchrones par rapport la tension vs(t)(sinusodale) de priode T de la source alternative redresse, et la commande faitvarier le retard t0 lamorage du (des) thyristor(s).Le signal de synchronisation de priode T est isol galvaniquement de la source alter-native. Il permet en outre de fixer lorigine des temps (mise 0 du compteur).

    Figure 1.6 Principe de la commande numrique.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.3 Commande numrique

    15

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    On se place ici dans le cas o : Te = T = 2 [2N 1] TCLK. Mais la commande nalieu que sur la premire demi-priode de la sinusode.Le schma bloc dun montage ralisant la commande Arc cosinus est prsent la figure 1.7.

    On utilise une mmoire (vive ou morte) adresse sur M bits, o les mots inscrits etlus sont exprims sur N bits. Gnralement, M N, avec M et N nombres pairs.On choisit gnralement M = 10 et N = 8.La relation la plus utilise est celle dcrite ci-dessous.Le numro maximal dadresse mmoire est XMax = 2M 1. La valeur maximale lueest YMax = 2N 1. On donne alors la relation approche :

    o Int [] reprsente la partie entire de lexpression entre crochets.Le comptage seffectue par incrmentation dans un compteur la priode TCLKjusqu ce que son contenu soit gal yN(nTe). La dure est t0, cest aussi la duredu signal obtenu sur la sortie Q de la bascule R-S. La commande du (des) thyristor(s)

    Figure 1.7 Commande numrique Arc cosinus .

    ( )

    -

    -

    - + =

    1

    1

    2 12( ) Arc cos

    2

    MNM e

    N e M

    x nTy nT Int

  • 16

    1.3 Commande numrique1 Commandeanalogique et numrique

    a lieu partir de linstant t0. Le signal dinterface sera donc . Cest lui qui esttransmis pour la commande des thyristors.Ensuite, le compteur est mis 0 jusquau comptage suivant, dclench par unenouvelle synchronisation.La relation entre la dure du dcompte t0 et la commande numrique dentre xM(nTe)(mot de M bits) est non linaire.La dure t0 de retard lamorage sera :

    t0 = yN (nTe) TCLK

    On en dduit langle de retard lamorage = s t0 avec s = 2/Ts.En crivant que Ts 2N + 1 TCLK et que 2M 1 1 2M 1, on obtient :

    On obtient une relation linaire entre cos et xM, ce qui revient une fonction de

    type Arc cosinus entre langle et la grandeur de rglage, ce

    qui est pratique pour la commande des redresseurs thyristors (voir le chapitre 5).

    1.3.3 Commande numrique pour gradateurs

    Reprenons la figure 1.7. Dans le cas du gradateur, la commande en utilisant fonc-tion Arc cos(x) ne prsente pas dintrt. Il est possible de modifier les donnesenregistres en mmoire pour obtenir : soit une relation de la forme comme pour le 1.2.3; soit une relation telle que la puissance fournie par le gradateur soit une fonction

    linaire de x (voir chapitre 6).

    1.3.4 Commande numrique pour modulation de largeur dimpulsions

    Le principe de la commande par intersection sinus-triangle est encore utilis encommande numrique (voir annexe mathmatique).La fonction tri(t) est ralise par un systme compteur-dcompteur . Sa priodeest Te. Le temps t intervient alors par valeurs entires de k Tosc o : Tosc est la priode de loscillateur local ( quartz gnralement); k est un entier positif fixe de prrglage, qui permet dobtenir une unit de

    temps compatible avec le fonctionnement. Par exemple, si Tosc = 50 ns, onchoisit k = 10 pour obtenir des incrmentations du compteur toutes les k Tosc= 0,5 s. Si la priode Te = 100 s, la fonction tri(t) comporte 100 incrmenta-tions pour la monte et 100 autres pour la descente. Cela donne Te = 200 k Tosc.

    Remarque : on prend plus souvent le rapport 128 = 27, plus facile raliser dansun processeur, plutt que le rapport 100.

    Q

    ( )

    - -

    1cos 1

    2M e

    M

    x nT

    ( )-

    = -1

    12M e

    M

    x nTx

    ( ) = -1 x

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.4 tude de composantsde commande numrique

    17

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    La fonction gnratrice g(t) est sinusodale de priode T. Elle est obtenue : soit par conversion en numrique dun signal analogique impos en entre; soit directement, par lecture la priode Te de donnes en mmoire.

    On dsigne par le rapport de modulation (multiple de 4 de prf-rence).Les comparaisons seffectuent sur N bits. Les donnes exprimes par le compteuret la fonction gnratrice doivent donc tre aussi sur N bits.La MLI peut tre obtenue par intersection simple ou double. Si elle est simple, la forme donde est bipolaire :

    y(t) = sign [g(t) tri(t)]. Alors y vaut + 1 ou 1.

    Si elle est double, la forme donde est unipolaire :

    y(t) = [sign [g(t) tri(t)] sign [ g(t) tri(t)]]. Alors y vaut + 1, 0 ou 1.

    Remarque : pour simplifier lcriture prcdente, on a choisi pour la variable temps t : t sidentifie mkTosc pour les fonctions tri(t) et y(t), avec k entier naturel (positif )

    fixe et m entier relatif; t sidentifie nTe pour la fonction g(t), avec n entier relatif ; en respectant la condition kTosc du registre TRISC du PIC.Le diviseur de frquence est rgl par une valeur dsigne par TMR2 prescalevalue, pour diviser la frquence de fosc par 1 ou 4 ou 16. On note Tosc = 1/fosc.Pour obtenir le signal de commande VCCP1(t), on procde comme suit :

    le registre PR2 est pralablement charg par une donne de 8 bits pour fixer lapriode Te du hacheur;

    le registre CCPRIL est charg par une valeur de 8 bits pour fixer Te = T1.

    = = ee

    fTM

    T f

  • 18

    1.4 tude de composantsde commande numrique

    1 Commandeanalogique et numrique

    on programme le registre de contrle T2CON pour fixer la valeur TMR2 pres-cale value et obtenir la mise en route ou larrt du timer.

    chaque nouvel instant (TMR2 prescale value) Tosc 4, le compteur (8 bits)TMR2 saccrot dune unit. Il est donc croissant par incrmentation. Pourobtenir Te, le comparateur 0 vrifie le contenu fixe de PR2 celui de TMR2. lgalit, on a ncessairement :

    (PR2 + 1) (TMR2 prescale value) Tosc 4 = Te

    Alors, le contenu de CCPRIL et des bits < 4 > et < 5 > de T2CON est transfrvers le registre CCPRIH, et celui de TMR2 est mis 0 .On envoie 1 sur lentre S de la bascule R-S et la sortie donne VCCP1 = 1.Pour obtenir la dure Te = T1, le comparateur 1 vrifie le contenu du registreCCPRIH au registre TMR2, (croissant comme prcdemment, par incrmenta-tion) auquel on a ajout 2 bits de poids faible, provenant dune horloge interne(do la raison de la multiplication par 4 pour lexpression de Te). On obtient alors la comparaison :

    (CCPRIH) (TMR2 prescale value) Tosc = Te = T1

    On constate ainsi qu cette deuxime comparaison, on envoie 1 sur lentre R de la bascule R-S et la sortie donne VCCP1 = 0.

    On en dduit que le rapport cyclique est donn par . Comme

    le registre CCPRIH est en 10 bits, et le registre PR2 en 8 bits, on retrouve grce la multiplication par 4 que le rapport cyclique est infrieur 1, condition derespecter (voir figure 1.8) :

    (CCPRIL) < 4 (PR2)

    La plus petite variation du rapport cyclique est fixe par lincrmentation ou ladcrmentation du registre CCPRIH. Cela donne :

    En dfinitive, cest la premire comparaison qui fixe la priode Te du hacheur, et ladeuxime le rapport cyclique.Le PIC ralise donc de manire interne la fonction scie_dec_m(t) = TMR2 (timer 2)par incrmentation de TMR2. Le temps t est discrtis et intervient alors parvaleurs entires de (TMR2 prescale value) Tosc 4.La deuxime comparaison fait intervenir la grandeur de rglage (ou de commande) :

    x = (CCPRIH) (TMR2 prescale value) Tosc

    ( )( )

    = +4 2 1CCPRIH

    PR

    ( ) =

    +1

    4 2 1PR

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.4 tude de composantsde commande numrique

    19

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    Cela dtermine la grandeur de sortie ( figure 1.8) :

    VCCP1(t) = y(t) = 0,5 [sign(scie_dec_m(t) x(t)) + 1] = d(t)

    (Voir annexe mathmatique.)On a encore :

    TMR2(Te) = x

    Comme TMR2 est proportionnel t (discrtis) sur lintervalle [0, Te], le rapportcyclique est proportionnel la grandeur de commande x.

    1.4.2 Utilisation dun processeur DSP (Digital Signal Processor)

    Lutilisation dun processeur DSP est frquente pour obtenir une commande enmodulation de largeur dimpulsions. On lui fait raliser de manire interne pourchaque phase une intersection sinus-triangle (voir figure 1.9).Cela consiste comparer priodiquement le niveau dune fonction triangulaireengendre par un timer dans le processeur (le DSP) une fonction gnratrice g(t)en principe sinusodale, obtenue par calcul ou par lecture de valeurs dans unemmoire.Plaons-nous dans le cas du monophas. Dans le mode simple, la valeur de g(t) estcharge (au point P sur la figure) chaque priode Te dans un registre qui va comparerle niveau tri(t) au signal triangulaire.

    Figure 1.8 Commande numrique de la fonction d(t) par processeur PIC.

  • 20

    1.4 tude de composantsde commande numrique

    1 Commandeanalogique et numrique

    On note la dure (n)Te de la commande correspondant y pour une dure rsultantde la comparaison niveau-triangle (voir figure 1.10).

    En pratique, on na pas exactement = 1 cause du temps mort de dure t0.La fonction gnratrice g(t) OM correspond londe sinusodale modulante dela MLI (voir page 135), de frquence f = 1/T. Les triangles MPQ et MST sont sembla-bles, et on peut en dduire :

    Figure 1.9 Intersection onde gnratrice-triangle pour la commande numrique.

    Figure 1.10 Intersection niveau-triangle pour la commande numrique.

    +y y

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.5 Le DSP TMS320LF2407de Texas Instruments

    21

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    Cela donne :

    avec OM normalis gal 1

    soit et, finalement, on obtient .

    Le registre permettant lobtention de la priode de modulation Te partir de lapriode Tosc dhorloge du DSP est de 16 bits. La valeur maximale de ce registre est216 1 = 65 535, ce qui donne par exemple pour Tosc = 38,5328 ns une priodemaximale de Te = 5,0505 10 3 soit une frquence de 198 Hz. Il faut signaler quecette valeur de Te nest pratiquement jamais utilise et quen pratique, avec lescomposants rapides actuels (transistors MOS ou IGBT) la frquence fm = 1/Tm estchoisie entre 10 kHz et 20 kHz. Par exemple, pour f = 50 Hz, on obtient avec fe =

    10 kHz, la valeur du rapport .

    Pour fe = 10 kHz, le registre sera charg la valeur 2 596, ce qui donne une frquenceexacte de fe = 9 996,88 Hz. La prcision maximale de la valeur de (n) est dautantplus grande : que M est lev, car le chargement des nouvelles valeurs de g sera plus frquent,

    pour une frquence f donne de londuleur; que le pas de monte ou de descente de la fonction triangle est petit. Cela est

    fix par le chargement dun registre particulier fixant la priode de rfrence dutimer gnrateur de la fonction triangle.

    Dans le mode double, le processeur effectue une double acquisition durant lapriode Te, et donc une double comparaison, ce qui provoque des valeurs diffrentesde (n) (MLI asymtrique); la prcision est meilleure.

    1.5 Le DSP TMS320LF2407 de Texas Instruments1.5.1 Prsentation

    On utilise ici une carte DSP spcifique pour la commande de moteurs lectriques :le TMS320LF2407 de Texas Instruments.La gnration de DSP TMS320C24x de Texas Instruments a t conue pourtre aussi facile dusage que les microcontrleurs de 8 ou 16 bits. Ces DSP peuventfonctionner au moins 20 millions dinstructions par seconde (MIPS).

    =PQ PM

    ST SM

    ( )( )- -=

    112

    1 22

    e e

    e

    T n T g

    T

    ( ) ( )- = -11 12

    n g ( ) ( ) = +1 12

    n g

    = = 200ef

    Mf

  • 22

    1.5 Le DSP TMS320LF2407de Texas Instruments

    1 Commandeanalogique et numrique

    Le DSP TMS320LF2407 de 144 broches est la dernire version de cette gnra-tion. Il peut fonctionner 30 millions dinstructions par seconde (MIPS).Il utilise en principe des donnes de 16 bits en virgule fixe. Il est utilisable pourdes techniques de contrle adaptatif, de logique floue, de filtres de Kalman. Il estpossible denvisager des filtres numriques rjecteurs de frquence pour liminercertaines frquences mcaniques.Sa puissance de calcul lui permet dutiliser des algorithmes en temps rel, pluttque les tables optimises approximatives explores par un microcontrleur.Il est utilis pour la commande de moteurs courant alternatif, tels que le moteursynchrone, rluctance variable, asynchrone, et aussi pour les moteurs pas--pas.

    1.5.2 Architecture interne du processeur

    Trois espaces mmoire sont prvus (voir figure 1.11) :

    lespace mmoire programme; lespace mmoire donnes; lespace mmoire entre/sortie (I/O space).

    Larchitecture du DSP est donc une architecture de Harvard modifie.Chaque espace mmoire correspond 64 kmots de 16 bits. Cette architecture permetde faire fonctionner dans le mme temps dans le noyau CPU :

    une multiplication (Multiplier); une addition dans laccumulateur (CALU); un nouvel adressage (ARAU).

    Lunit centrale (CPU), le bus priphrique, et surtout le gestionnaire dvnements event manager , grent les fonctions les plus importantes de ce DSP.Dautre part, on y remarque :

    les timers ; les ports de communication srie; les convertisseurs analogique-numrique; les systmes de protection : dtection basse tension; chien de garde (watchdog).

    1.5.3 Le noyau de calcul CPU

    La dure de traitement dune instruction est de 33 ns.Lunit centrale ALU est de 32 bits (CALU).Laccumulateur est de 32 bits.Le multiplieur est de 16*16 bits donnant un produit sur 32 bits.Trois registres dcalage.Huit registres auxiliaires pour adressage de la mmoire de donnes (ARAU) (gnra-teur dadresses) Ce DSP contient deux registres dtat non adressables (en mmoiredite de donnes) appels ST0 et ST1, qui fixent les conditions de fonctionnementdu processeur.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.5 Le DSP TMS320LF2407de Texas Instruments

    23

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    1.5.4 Les caractristiques de ce DSP

    Mmoire : 544 mots de 16 bits sur la DARAM (Dual Access RAM) soit en donnes,soit en programme : jusqu 32 k*mots de 16 bits de programme ROM ou Flash EEPROM; 192k*mots de 16 bits de mmoire globale maximale adressable (64 k programmes,

    64 k donnes, 64 k en I/O); module de mmoire externe avec temps dattente, sur bus de 16 bits de programme

    et 16 bits de donnes.Contrle : opration de pipeline sur quatre niveaux. Le pipeline permet dacclrerles calculs du processeur.Huit niveaux de pile.Six interruptions externes, dont quatre masquables. Les interruptions non masqua-bles sont le Reset, le NMI. Le Power Driver Protection Interrupt (PDPINT) estmasquable avec trois autres interruptions. Il sert protger le moteur ou le conver-tisseur de puissance contre des surtensions, des surintensits, ou des lvationsanormales de la temprature.Le NMI est un soft reset qui affecte seulement certaines fonctions.Instructions : possibilit dadressage index; possibilit de bit-reverse pour le calcul de la FFT (transforme de Fourier); instructions prvues pour le dplacement des donnes ou des codes de programme.Technologie C-MOS : quatre modes pour fonctionner en puissance rduite.Gestion du programme; ladressage de la mmoire programme utilise : un compteur de programme (PC) de 16 bits; un registre dadresses (PAR) qui gre le bus de programme (16 bits) aussi bien

    pour la lecture que pour lcriture; une pile de 8 niveaux pour des donnes de 16 bits; une micropile (MSTACK) pour stocker occasionnellement une adresse de 16 bits

    en retour.Deux gestionnaires dvnements. Chacun des gestionnaires permet lutilisation de : deux timers de 16 bits dusage gnral; huit canaux pour la modulation MLI (PWM), modulation de largeur dimpul-

    sions pour la commande des onduleurs triphass; trois units de capture pour des phnomnes externes; un circuit dinterface pour les codeurs en quadrature; une commande MLI adapte pour les moteurs asynchrone, synchrone type

    brushless, rluctance variable, ou bien pas--pas.Transmission de donnes vers ou en provenance de lextrieur.Huit, voire seize entres multiplexes, de convertisseurs analogiques numriques de10 bits. La dure de conversion minimale est de 500 ns. Cette conversion peut tredclenche par des squenceurs lis aux units de capture de phnomne externe.Jusqu 40 broches I/O programmables multiplexes (GPIO) : une boucle PLL;

  • 24

    1.5 Le DSP TMS320LF2407de Texas Instruments

    1 Commandeanalogique et numrique

    un timer watchdog (chien de garde) avec interruption; une interface de communication srie (SCI); une interface srie priphrique (SPI); une interface temps rel JTAG permettant la communication avec dautres

    processeurs (standard IEEE).

    Larchitecture est schmatise la figure 1.11.

    Figure 1.11 Architecture du DSP Texas Instruments TMS320LF2407.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.5 Le DSP TMS320LF2407de Texas Instruments

    25

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    1.5.5 Exemple de commande de MLI unipolaire dun onduleur monophas de tension par DSP TMS320LF2407 Texas Instruments

    On prsente ci-dessous un exemple de programmation de commande modula-tion de largeur dimpulsions (MLI) bipolaire pour un onduleur monophas detension en effectuant numriquement une intersection sinus-triangle (voiraussi chapitre 5).La fonction triangle tri(t) est cre lintrieur du composant. La fonction sinu-sodale g(t) est acquise partir dun gnrateur basse frquence analogique parconversion analogique-numrique. La tension V et la frquence f de la sourcede tension de sortie de londuleur ainsi cre dpend directement de g(t), donc durglage du niveau G et de la frquence du GBF.Bien entendu, cette tension sinusodale peut aussi tre ralise lintrieur duprocesseur, par lecture de donnes prenregistres en mmoire, une cadence plusou moins rapide, et une multiplication des amplitudes obtenues par une grandeurde rglage G (voir annexe mathmatique).Selon le langage assembleur Texas Instruments, les lignes prcdes du ; nesont pas prises en compte par le processeur et servent de commentaire. Elles serontici crites en italique.Le programme de DSP suivant en langage assembleur a t tabli sous la direction delauteur lcole suprieure dingnieurs en lectrotechnique et lectronique (ESIEE)de Marne-la-Valle, et nous la remercions pour lautorisation de diffusion quelle nousa donne.N.B. : en mode binaire (not b en fin dcriture) le bit de poids faible N 0 est droite et de poids fort (N 7 ou 15 ) gauche.

    ; Programme principal. include x24x_app.h

    ; Configuration des interruptions.sect vectors .def _c_int0

    B _c_int0 ; 00h reset.text

    _c_int0

    ; Dsactivation du watchdog (chien de garde)LDP #WDCR >> 7SPLK #11101000b,WDCR

    ; Configuration des registres de contrle du DSPLDP #SCSR1 >> 7LACC SCSR1OR #0000000010000101bSACL SCSR1

    ; bit 7 du registre de contrle 1 : activation de lhorloge pour la conversion analogique-numrique (ADC); bit 2 du registre de contrle 1 : activation de lhorloge pour la commande MLI; bit 0 du registre de contrle 1 : dtection dadresses illgales

  • 26

    1.5 Le DSP TMS320LF2407de Texas Instruments

    1 Commandeanalogique et numrique

    ; Configuration des registres des entres-sortiesLDP #MCRA >> 7SPLK #0001001111000000b,MCRA

    ; bit 12 du registre 1 : activation de la sortie dun comparateur valeur fixe (utilis pour les tests); bit 6 9 du registre 1 : activation de 4 sorties MLI; les autres bits sont 0

    SPLK #1111111000000000b,MCRB

    ; bit 9 15 du registre 1 : toujours mettre ces bits en criture; les autres bits sont 0

    SPLK #0000000000000001b,OCRC

    ; bit 0 du registre 1 : sortie horloge active (pour les tests afin de pouvoir mesurer sa frquence); les autres bits sont 0

    ; Configuration du compteur Gp_Timer1 du DSPLDP #GPTCON >> 7SPLK #0000h, T1CNT ; Reset du compteurSPLK #128, T1PER ; Priode du compteur 1

    ; Valeur compteur = 128 pour que la frquence de la fonction triangulaire soit environ de fe = 20 kHz; La priode de lhorloge note Tosc est de 200 ns

    SPLK #64, T1CMP

    ; Valeur pour comparaison pour effectuer des tests

    ; Configuration GPTCONSPLK #0000000001001010b,GPTCON

    ; bit 7 15 du registre 0 : non-activation des interruptions du MLI; bit 6 du registre 1 : activation des comparaisons; bit 0 3 du registre 1010 : activation des comparaisons

    ; Configuration des registres Compare Unit 1 et 2SPLK #0000h,DBTCON ; dsactive la bande morte :

    ce nest pas le cas iciSPLK #0000010101100000b,DBTCON

    ; activation de la bande morte; bit 12 15 du registre 0 : rserv; bit 8 11 du registre 0101 : bande morte 5 fois la priode

    de lhorloge soit 1 s; bit 5 7 du registre 011 : activation des bandes mortes

    pour les 4 sorties de la MLI; bit 2 4 du registre 000 : priode de lhorloge

    non modifie; bit 0 1 du registre 00 : rserv

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.5 Le DSP TMS320LF2407de Texas Instruments

    27

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    ; Configuration du registre ACTRA : vecteurs de sortie

    SPLK #1000000001100110b,ACTR

    ; bit 8 15 du registre 0 : non-utilisation des vecteurs et des sorties 5 et 6

    ; bit 0 7 du registre 01100110 : activation des vecteurs des sorties 1 4. La sortie 4 est complmentaire de la sortie 3, et la sortie 2 complmentaire de la 1

    ; Configuration du registre Compare Control Register

    SPLK #0000h,CMPR1 ; initialisation de CMPR1

    SPLK #0000h,CMPR2 ; initialisation de CMPR2

    SPLK #1010001000000000b,COMCON

    ; bit 15 du registre 1 : active la comparaison

    ; bit 13 14 du registre 01 : provoque une comparaison la monte du signal triangle et une la descente

    ; bit 12 du registre 0 : non utilisation des vecteurs

    ; bit 10 11 du registre 00 : non-rechargement du registre

    ; bit 9 du registre 1 : mise des sorties en haute impdance

    ; bit 0 8 du registre 000000000 : rserv

    ; Configuration de Timer1

    SPLK #1000100001000010b,T1CON

    ; bit 14 15 du registre 10 : comptage en continu

    ; bit 13 du registre 0 : rserv

    ; bit 11 12 du registre 01 : mise en mode comptage/dcomptage en continu

    ; bit 8 10 du registre 000 : priode de lhorloge non modifie

    ; bit 7 du registre 0 : utilisation des paramtres du programmeur

    ; bit 6 du registre 1 : activation du compteur

    ; bit 4 5 du registre 00 : utilisation de lhorloge interne

    ; bit 2 3 du registre 00 : non-modification du registre de comparaison

    ; bit 1 du registre 1 : activation du compteur

    ; bit 0 du registre 0 : utilisation des paramtres du programmeur

    ; Configuration du convertisseur analogique-numrique (ADC) pour entrer la fonction g(t) dans le DSP

    LDP #ADCL_CNTL1 >> 7SPLK #4000h, ADCL_CNTL1 ; Reset de lADC

    SPLK #0, CALIBRATION ; Registre de calibration 0 (non utilis)

    SPLK #1, MAXCONV ; Deux conversions (pour faire ventuellement de la MLI unipolaire (deux canaux))

  • 28

    1.5 Le DSP TMS320LF2407de Texas Instruments

    1 Commandeanalogique et numrique

    SPLK #0010h, CHSELSEQ1 ; Slection canal 0 et 1

    SPLK #0010000001110000b, ADCL_CNTL1

    ; bit 15 du registre 0 : rserv

    ; bit 14 du registre 0 : fin du Reset de lADC

    ; bit 12 13 du registre 10 : conversion complte avant den commencer une autre

    ; bit 8 11 du registre 0000 : conversion la plus rapide possible

    ; bit 7 du registre 0 : utilisation de lhorloge non modifie

    ; bit 6 du registre 1 : conversion en continu

    ; bit 5 du registre 1 : mise en faible interruption

    ; bit 4 du registre 1 : mise en conversion 16 bits

    ; bit 3 du registre 0 : dsactivation du calibrage

    ; bit 0 2 du registre 000 : dsactivation dune mise sous tension de rfrence externe

    ; Configuration N02 du convertisseur analogique-numrique (ADC) pour entrer la fonction g(t) dans le DSP

    SPLK #0110000000000000b, ADCL_CNTL2

    ; bit 15 du registre 0 : non utilis

    ; bit 14 du registre 1 : Reset du registre du rsultat de conversion

    ; bit 13 du registre 1 : dbut des conversions

    ; bit 0 12 du registre 0 : non-utilisation des interruptions

    loop : BIT ADCL_CNTL2, 12 ; attente de la fin des conversions

    BBNZ loop

    LACC #00000000h ; initialisation de laccumulateur

    LACL ADC_RESULT0 ; chargement du 1er rsultat de conversion

    RPT #8 ; dcalage de 8 bits, compteur est cod sur 7 bits

    SFR

    LDP #232

    SACL CMPR1 ; mise en registre de la valeur comparer au triangle

    LDP #225

    LACL ADC_RESULT1 ; chargement du 2e rsultat de conversion

    RPT #8 ; dcalage de 8 bits, compteur est cod sur 7 bits

    SFR

    LDP #232

    SACL CMPR2 ; mise en registre de la valeur comparer au triangle

    LDP #225

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.5 Le DSP TMS320LF2407de Texas Instruments

    29

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    LACC ADCL_CNTL2OR #2000h ; lancement dune nouvelle squence

    de conversion

    SACL ADCL_CNTL2B Loop ; Retour au dbut de la boucle

    Interprtation

    Soit tri_dec(t) la fonction triangulaire symtrique variant entre 0 et + 1, depriode Te telle que :

    si Te/2 < t < Te/2 modulo Te

    si Te/2 < t < Te modulo Te

    (Voir aussi annexe mathmatique en fin douvrage.)Lchelle de temps caractrisant lvolution de cette fonction est impose parlhorloge dont la priode TCLK est de 200 ns. La fonction triangle cre par le timer(le compteur) est donc positive, et du type tri_dec(mTCLK).La succession des valeurs de cette fonction est exprime sur 7 bits (avec m entierrelatif ).La fonction gnratrice g(t) fournie au DSP est ici strictement positive et de laforme :

    pour obtenir une fonction de moyenne .Dans le programme, on a effectu une boucle qui se met en marche ds quuneconversion est effectue. Cette conversion seffectue chaque nouvelle priode Te.La dure Tconv de la conversion est fixe par le fonctionnement du convertisseuranalogique-numrique et par lamplitude de g(t).On rcupre le rsultat de la conversion analogique-numrique sur 7 bits. On metces valeurs dans le comparateur qui fournit directement limpulsion largeurvariable. On a donc ainsi obtenu une modulation de largeur dimpulsion directe-ment lie une fonction gnratrice g(t) ou plus exactement g(nTe) acquisitionde donnes numriques chaque priode Te.On a ncessairement :

    TCLK < Tconv

  • 30

    1.5 Le DSP TMS320LF2407de Texas Instruments

    1 Commandeanalogique et numrique

    On a vu que la programmation du DSP a impos : TCLK = Tosc = 200 ns; Te = 1/fe = 51,2 s exactement correspondant 128 2 200 s ( fe = 19,53 kHz); une bande morte de 1 s.Remarques :Lvolution de g(t) est lente devant la frquence fe.Lvolution de tri_dec(t) est lente devant la frquence fCLK.Les deux entres du signal analogique converties en numrique dans le DSP sont : ADCIN0 pour lun des signaux (ici g(t)); ADCIN1 pour lautre signal invers (donc g(t) + 1 pour obtenir une fonc-

    tion de moyenne ).Les 4 sorties en modulation MLI (PWM) sont les suivantes (voir la figure 1.11) :1) PWM1 est le rsultat de la comparaison de g(t) avec tri_dec(t). Il commande

    linterrupteur f11 (voir figure 4.2), cest--dire la grille G11 (voir figure 5.6).Alors on a pour la forme donde pour signal MLI unipolaire :

    PWM1(t) = {sign[g(t) tri_dec(t)] + 1}

    soit encore, en tenant compte de lchantillonnage de la commande MLI lapriode Te.

    PWM1(nTe) = {sign[g(nTe) tri_dec(m TCLK)] + 1}

    2) PWM2 est obtenue par complmentation de PWM1 soit autemps mort prs, not td (voir la figure 1.11). Il commande linterrupteur f12( figure 4.2), cest--dire la grille G12 (voir figure 5.6) soit :

    PWM2(nTe) = { sign[g(nTe) tri_dec(m TCLK)] + 1}

    3) PWM3 est le rsultat de la comparaison de g(t) avec tri_dec(t). Il commandelinterrupteur f21 (voir figure 4.2), cest--dire la grille G21 (voir figure 5.6). Alorson a, pour signal MLI unipolaire :

    PWM3(t) = {sign[ g(t) + 1 tri_dec(t)] + 1}

    soit encore, en tenant compte de lchantillonnage MLI la priode Te :

    PWM3(nTe) = {sign[ g(nTe) + 1 tri_dec(m TCLK)] + 1}

    4) PWM4 est obtenue par complmentation de PWM3 soit autemps mort prs. Il commande linterrupteur f22 (voir figure 4.2), cest--dire lagrille G22 (voir figure 5.6), soit :

    PWM4(nTe) = { sign[ g(nTe) + 1 tri_dec(m TCLK)] + 1}

    =2 1PWM PWM

    =4 3PWM PWM

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    31

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    Aprs ralisation du programme, il y a assemblage et dition de lien en utilisant lelogiciel du constructeur (ici Texas Instruments). Cette opration informatiquepermet la ralisation dun fichier excutable qui est ensuite mis en service sur la carte DSP pour obtenir les quatre signaux MLI dsirs.Les signaux obtenus sont reprsents la figure 1.13.Il suffit alors denvoyer ces signaux sur les interfaces et les drivers qui permettentdobtenir la commande MLI unipolaire des transistors constituant londuleurmonophas de tension (voir figure 5.6).

    1.6 Exemple de commande de MLI bipolaire par composant programmable

    1.6.1 Prsentation

    On utilise un circuit intgr de type CPLD (Complex Programmable Logic Device)ou de type FPGA (Field Programmable Gate Array).

    Figure 1.12 Commande MLI unipolaire par DSP dun onduleur monophas de tension.

  • 32

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    1 Commandeanalogique et numrique

    La maquette relle ralisant la commande MLI est assez simple car la fonction obtenir est raliser compte tenu des conditions indiques ci-dessous.On utilise une frquence dhorloge basse fCLK = 1,8432 MHz. (TCLK = 542,5 ns.) cette frquence, les oprateurs logiques courants fonctionnent sans difficult.La conversion analogique-numrique seffectue lextrieur. Nanmoins, les donnesconverties arrivent dans les composants par mots de 8 bits. Lacquisition estasynchrone la frquence facq = 7 kHz. Il faudra donc installer un registre dcalage dans le composant programmable pour mmoriser les suites de donnes.La fonction tri_dec(m TCLK) est ralise de manire interne dans le composant : cestun compteur-dcompteur .Comme pour le DSP, la comparaison seffectue la monte et la descente de lafonction tri_dec(m TCLK).La priode Te de tri_dec(m TCLK) vaut Te = 256 2 TCLK = 0,2778 ms soit fe =3,6 kHz.On se limite ici au cas dune commande MLI bipolaire (voir chapitre 5).On vrifie ici que :

    fe < facq Tacq >> TCLK

    Figure 1.13 Signaux MLI sortant du DSP TMS320LF2407.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    33

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    La commande MLI bipolaire monophase implique que :

    f11(nTe) = f22(nTe) (voir figure 4.2)

    f12(nTe) = f21(nTe) = au temps mort prs

    On choisit ici un circuit intgr de type CPLD.

    1.6.2 Le langage VHDL

    Cest le langage utilis pour la programmation des circuits de type CPLD ou FPGA.Il signifie : VHSIC Hardware Description Language.Il a t cr en 1980 pour programmer les premiers circuits intgrs dsigns parVHSIC, pour Very High Speed Integrated Circuits.Le but de cet ouvrage nest pas de prsenter toutes les possibilits offertes par lelangage VHDL. Nous renvoyons le lecteur vers des ouvrages spcialiss.On expose ici les rgles essentielles de programmation et le choix qui a t faitpour raliser la commande MLI bipolaire prsente prcdemment.La structure dun circuit logique programm en VHDL peut tre considre commesuit : figure 1.14.

    En VHDL, un circuit ou structure logique est caractrise par la dclarationdune entit et dune architecture de la manire suivante :

    Entity (Nom de lentit) is

    (Description des entres, des sorties en prcisant pour chacune le nom, la direction et le type.)

    end (Nom de lentit).

    Architecture (Nom de larchitecture) of (Nom de lentit) is

    (Zone de dclaration)

    begin

    (Description de la structure logique)

    end (Nom de larchitecture).

    ( )11 ef nT

    Figure 1.14 Description VHDL dun circuit logique programmable.

  • 34

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    1 Commandeanalogique et numrique

    Remarque : pour tenir compte du fait que les composants sont rels, on introduitun temps de propagation de quelques dizaines de ns.Aprs ralisation du programme, il y a compilation en utilisant le logiciel du cons-tructeur (ici XILINX). Cette compilation permet la simulation du circuit soumis des stimuli logiques.Si la simulation donne les signaux MLI cherchs, on passe lexcution entransformant les fichiers. On implante alors le programme dans le composantprogrammable.Par la suite, comme pour le DSP, il faut tester les signaux rels obtenus, toutdabord en labsence de puissance, puis en envoyant ces signaux travers interfaceset drivers vers les transistors de londuleur.

    1.6.3 La programmation de la carte

    On utilise un composant XC2-XL contenant la fois un circuit Xilinx CoolRunner-IIXC2C256 CPLD et un circuit Xilinx XC9572XL CPLD (voir figure 1.15).Le programme de composant XILINX suivant en langage VHDL a t tabli sous ladirection de lauteur lcole suprieure dingnieurs en lectrotechnique et lectronique(ESIEE) de Marne-la-Valle, et nous la remercions pour lautorisation de diffusionquelle nous a donne.On programme ce composant en commenant par sintresser au compteur-dcompteur. Pour son fonctionnement, on doit crer une horloge lente Te/2 quifait passer le fonctionnement du mode compteur durant 256 TCLK (ou 256 Tosc)au mode dcompteur (durant 256 TCLK).

    Figure 1.15 Carte ayant le composant Xilinx servant la commande MLI bipolaire.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    35

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    Listing 1.1 Horloge lente.

    LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.numeric_std.ALL;

    entity compteur is generic (n : natural : = 9);Port (

    raz : in std_logic;h : in std_logic;s : out std_logic

    );end compteur;

    architecture Behavioral of compteur issignal sint :std_logic_vector(n-1 downto 0);

    beginsint < = (others = > 0) when raz = 1

    else 000000001 when sint = 000000000else 100000001 when sint = 100000000

    else std_logic_vector(unsigned(sint) + 1) when rising_edge(h);

    s < = sint(n-1);end Behavioral;

    Listing 1.2 Compteur/dcompteur.

    LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.numeric_std.ALL;

    entity cdcnext is generic (n : natural : = 8);Port (

    reset : in std_logic;clk : in std_logic;up : in std_logic;

    output : out std_logic_vector (n-1 downto 0)

    );end cdcnext;

    architecture Behavioral of cdcnext issignal count :std_logic_vector(n-1 downto 0);

    beginsynchrone : process (reset, clk)

    beginif reset = 1 then count < = (others = > 0);elsif clkevent and clk = 1 then

    if up = 0 then count < = std_logic_vector (unsigned(count) + 1);

    else count < = std_logic_vector (unsigned(count)-1);end if;

    end if;end process;

  • 36

    1.6 Exemple de commande de MLI bipolairepar composant programmable

    1 Commandeanalogique et numrique

    output < = count;end Behavioral;

    Listing 1.3 Registre dcalage de 8 bits.

    Le convertisseur analogique-numrique utilis ici est le ADC0831. Le temps deconversion minimal est de 32 s. Dans lattente de la comparaison, on enregistrepriodiquement dans un registre dcalage les mots de 8 bits du signal g(nTacq)fournis par la conversion.

    LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.numeric_std.ALL;

    entity regdec is generic (p : natural : = 8);Port (

    din :in std_logic;clk :in std_logic;raz :in std_logic;sds :out std_logic

    );

    end regdec;

    architecture Behavioral of regdec issignal qint :std_logic_vector(p-1 downto 0);

    beginqint < = (others = > 0 when raz = 0 else din&qint(p-1 downto 1)

    when rising_edge(clk);sds < = qint(7);

    end Behavioral;

    Listing 1.4 Comparateur de signaux numriques

    On compare g(n Tacq) tri_dec(m TCLK).

    LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.numeric_std.ALL;

    entity comparateur is generic (n : natural : = 8);Port (e_plus :in std_logic_vector(n-1 downto 0);

    e_moins :in std_logic_vector(n-1 downto 0);s : out std_logic);

    end comparateur;

    architecture Behavioral of comparateur is

    begins < = 1 when unsigned(e_plus) > unsigned(e_moins) else 0

    end Behavioral;

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.7 Utilisation du logiciel LabVIEW

    37

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    N.B. : Les signaux e_plus et e_moins sont unsigned car positifs ou nuls.

    1.6.4 Conclusion

    Le signal de sortie du comparateur s(nTe) est le signal qui donne la MLI bipolaire,pour la commande des interrupteurs f11 et f22.

    Il suffit ensuite dutiliser pour la commande des interrupteurs f12 et f21 (voirfigure 4.2).Comme pour le DSP, on obtient en sortie du comparateur la relation suivante :

    s(nTe) = {sign[g(nTe) tri_dec(m TCLK)] + 1}

    avec ici :

    Te = 0,2778 ms TCLK = 542,5 nstri_dec(m TCLK) et g(nTe) 0

    Les signaux obtenus sont reprsents la figure 1.16.

    1.7 Utilisation du logiciel LabVIEW1.7.1 Intrt du logiciel LabVIEW

    Ce logiciel permet une meilleure comprhension des phnomnes importants inter-venant en lectronique de puissance.

    ( )es nT

    Figure 1.16 Signal MLI bipolaire sortant de la carte Xilinx.

  • 38

    1.7 Utilisation du logiciel LabVIEW1 Commandeanalogique et numrique

    Ce logiciel peut traiter les signaux de deux manires :

    soit en convertissant des tensions sous forme analogique en donnes numri-ques grce une carte spcifique au logiciel ;

    soit en simulation.

    Dans les deux cas, on peut effectuer des analyses de signal (filtrage, FFT) effec-tuer des mesures, prvoir des limitations, calculer des puissances.On peut en outre lutiliser pour gnrer des signaux de commande(s) de hacheurou donduleur, en utilisant la ou les sortie(s) analogique ou logique. Cest ce quiest prsent ici.Pour mettre en uvre ce logiciel, on fait appel deux fentres :

    la fentre Diagramme qui permet la dtermination des diffrentes commandes,des calculs effectuer sur les donnes (valeurs moyennes, efficaces) et de prciserles grandeurs instantanes affiches comme sur un cran doscilloscope;

    la fentre Face-avant qui donne les rsultats des divers traitements mathma-tiques effectus sur les donnes. On trouve affiches les valeurs moyennes et effi-caces des grandeurs, et reprsentes les grandeurs temporelles.

    1.7.2 Utilisation du logiciel LabVIEW pour une commande de convertisseur

    Commande de hacheur srie

    Cette commande est utilise pour le fonctionnement dune maquette exprimentale. la figure 1.17, on a prsent un exemple simple de commande de hacheur srieen effectuant la comparaison dun gnrateur dents de scie (instance 3) rali-sant la fonction scie_dec_m(t) avec la fonction constante (instance 4 DC) o le

    Figure 1.17 Intersection niveau dents de scie pour la commande par logiciel dun hacheur srie.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    1.7 Utilisation du logiciel LabVIEW

    39

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    1 Commande analogiqueet numrique

    niveau G0 est rglable par potentiomtre. La comparaison seffectue linstance 5 quifournit le signal d(t) de rapport cyclique visualis sur loscilloscope not MLI(voir aussi annexe mathmatique).La sortie analogique de la commande seffectue par linstance 6.Linstance data capture le niveau du courant continu dans le hacheur qui estcompar dans linstance 2 un niveau maximal acceptable pour le courant. Si lersultat de cette comparaison indique un excs dintensit dans la charge, il y ablocage de la commande par action sur linstance 5 et le rapport cyclique duhacheur est ramen 0.Il y a donc commande par le logiciel dun hacheur srie et protection en temps relcontre les surintensits.

    Commande dun onduleur monophas deux transistors

    Cette commande est utilise pour le fonctionnement dune maquette expri-mentale. la figure 1.18, on a prsent un exemple simple de commande donduleurmonophas modulation de largeur dimpulsions bipolaire deux transistors,onduleur analogue celui qui est prsent la figure 5.11.On effectue la comparaison dun gnrateur triangle (instance 8) ralisant lafonction tri(t) avec la fonction sinusodale de valeur efficace G (instance 9) o lavaleur de G est rglable par potentiomtre. La comparaison seffectue linstance 12qui fournit le signal de commande de lun des interrupteurs (un transistor) visua-lis sur loscilloscope not MLI.

    Figure 1.18 Intersection sinus-triangle pour la commande par logiciel dun onduleur de tension.

  • 40

    1.7 Utilisation du logiciel LabVIEW1 Commandeanalogique et numrique

    La sortie analogique de la commande seffectue par linstance 13. Ici, londuleurde tension nest compos que de deux transistors de puissance. Pour la commandede lautre transistor, on utilise le signal complmentaire du prcdent.Linstance data 7 capture le niveau du courant alternatif dans londuleur qui estcompar dans linstance 10 un niveau maximal acceptable pour le courant. Si lersultat de cette comparaison dans linstance 11 indique un excs dintensit dansla charge, il y a blocage de la commande par action sur linstance 12 et la commandede londuleur est ramene 0.Il y a donc commande par le logiciel dun onduleur simple monophas de tensionet protection en temps rel contre les surintensits.

  • 41

    A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    2 COMPOSANTS LECTRONIQUES.UTILISATION EN INTERRUPTEURS

    DE PUISSANCE

    2.1 Composants et interrupteurs de puissance

    2.1.1 Interrupteur de puissance

    On a vu quen lectronique de puissance, on met en uvre des convertisseurs utili-sant des interrupteurs lectroniques fonctionnant soit ltat passant (ou ferm),soit ltat bloqu (ou ouvert). Le passage dun tat lautre, appel commuta-tion, seffectue priodiquement. On note Te cette priode.Cet interrupteur est ralis par un ou plusieurs composants lectroniques semi-conducteurs. Lvolution de la technologie peut laisser penser que dautres typesdinterrupteurs apparatront, en utilisant par exemple loptolectronique. Mais cequi est intressant, cest la possibilit dobtenir des commutations trs rapides,mettant en jeu des courants ou des tensions trs levs.Ce chapitre dbute par ltude de la notion dinterrupteur idal qui serait labou-tissement des meilleures technologies. Ensuite, on passe aux composants rels,prsents toutefois de manire simplifie partir des notions introduites par ltudede linterrupteur idal. Enfin, on analyse les caractristiques de composants relstels que la diode, le transistor ou le thyristor :

    soit partir des donnes constructeur (data sheet) ; soit par une simulation. On a choisi ici le logiciel PSpice (MicroSim).

    2.1.2 Interrupteur idal

    Un interrupteur K peut tre considr comme un diple ralisant une connexion de type binaire (tat ouvert ou bloqu dune part, tat ferm ou passant dautrepart) entre deux sources de puissance, ou entre une source et un rcepteur.Linterrupteur idal est un lment sans dissipation dnergie (voir figure 2.1) :

    chute de tension nulle ltat ferm; courant nul ltat ouvert; nergie dissipe nulle en passant dun tat lautre : pas de pertes de commutation.

  • 42

    2.1 Composants et interrupteursde puissance

    2 Composantslectroniques

    Ses caractristiques statiques (tat ferm ou tat ouvert) sont les demi-droites places sur les axes du diagramme (i, v).Les conditions de changement dtat et de la mise en uvre des transitions consti-tuent la commande CF ( la fermeture) ou la commande CO ( louverture).

    2.1.3 Commande interne ou externe dun interrupteur

    Selon les cas, et selon la technologie de linterrupteur, on distingue les change-ments dtat :

    spontan : le point de fonctionnement (v, i) volue de manire continue dune demi-droite daxe horizontal une demi-droite daxe vertical (ou linverse).La commande (au sens large du terme) est alors interne. Si, par exemple, le courantpositif volue vers 0 pour provoquer le blocage (louverture) de linterrupteur,on utilise la convention i = 0. De mme, si la tension ngative volue vers 0pour provoquer la conduction (la fermeture) de linterrupteur, on utilise laconvention v = 0 ;

    forc ou provoqu : le point de fonctionnement (v, i) volue de manirediscontinue dune demi-droite daxe horizontal une demi-droite daxevertical (ou linverse), par une action (ou signal) extrieure. La commande estalors externe. Comme cette action sur les composants aura lieu sur une gchette(pour le thyristor ou le triac) ou une grille (pour le transistor MOS ou IGBT) ondsigne par : le symbole G laction de commande externe la fermeture dun composant, le symbole G laction de commande externe louverture dun composant.

    2.1.4 Fonction de connexion

    On appelle fonction de connexion la relation entre les grandeurs de linterrupteurtelle que :

    i(t) = f (t) i0(t)

    v(t) = [1 f (t)] v0(t)

    f = 1 : tat passant (ferm)

    f = 0 : tat bloqu (ouvert)

    Figure 2.1 Schma et caractristiques de linterrupteur idal.

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    2.1 Composants et interrupteursde puissance

    43

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    2 Composantslectroniques

    o i0(t) est le courant dans linterrupteur ltat passant et v0(t) est la tension auxbornes de linterrupteur ltat bloqu. Ces grandeurs sont imposes par le fonc-tionnement du convertisseur dans lequel est plac linterrupteur.La suite des 0 et des 1 des fonctions de connexion permet de fixer lescommandes des interrupteurs dun convertisseur de puissance.

    2.1.5 Changement dtat des interrupteurs

    Le changement dtat est :

    spontan (ou naturel). La commande de linterrupteur est interne ; provoqu (ou forc). La commande de linterrupteur est alors externe.

    Mais un changement dtat nest parfois possible que si des conditions, internes ouexternes et indpendantes de la commande, sont vrifies.Pour les conditions internes, on utilise les conventions suivantes :

    lcriture i > 0 (ou < 0) pour indiquer la prsence dun courant interne positif (oungatif) dans linterrupteur au moment de lapplication de la commande;

    lcriture v > 0 (ou < 0) pour indiquer la prsence dune tension interne posi-tive (ou ngative) aux bornes de linterrupteur au moment de lapparition de lacommande.

    Pour les conditions externes, on utilise les conventions suivantes :

    lcriture G pour indiquer la prsence en permanence dune tension externe surG pour la fermeture sans action directe de commande;

    lcriture pour indiquer la prsence en permanence dune tension externepour louverture sans action directe de commande.

    Cela, en pratique, introduit quatre cas possibles, voir tableau 2.1 (on utilise le symbole & pour noter la condition et logique).

    Tableau 2.1 Changements dtat des interrupteurs.

    Fermeture

    de linterrupteur (CF)

    Ouverture

    de linterrupteur (CO)

    Inconditionnel Spontan v = 0 i = 0

    Inconditionnel Provoqu G G

    Conditionnel Spontan G & [v = 0] & [i = 0]

    Conditionnel Provoqu [v > 0] & G [i > 0] & G

    G

    G

  • 44

    2.2 Interrupteurs rels de puissance2 Composantslectroniques

    2.1.6 Interrupteur dual

    Il est souvent pratique dintroduire la notion d interrupteur dual dun compo-sant donn, en changeant les changements dtat, cest--dire : en permutant les commandes (CF) et (CO); en permutant les rles des tensions et des courants pour les grandeurs internes,

    sans modifier leur signe ou le sens de leur variation; en inversant le sens de laction sur G, soit par les flches, soit en complmenta-

    tion logique (on rappelle que G est une grandeur externe).On aboutit au tableau 2.2.

    Tableau 2.2 Changements dtat des interrupteurs duaux.

    Remarque : Le & est conserv par dualit.

    2.2 Interrupteurs rels de puissance2.2.1 Prsentation

    lexception de la diode, les interrupteurs concrtiss par des composants semi-conducteurs possdent une commande et laction de cette commande seffectue parune tension.Ainsi, les caractristiques dynamiques dun tel interrupteur rel peuvent treschmatises comme lindique la figure 2.2. ltat ouvert, le composant estsoumis la tension VM. ltat ferm, il est travers par le courant IM.On dsigne par tr (rise time) la dure de monte du courant entre ltat bloqu et ltatconducteur (fermeture de linterrupteur) et par tf ( fall time) la dure de descentedu courant (ouverture de linterrupteur). Les niveaux standard de rfrence sont10 % et 90 % de la variation totale IM du courant.En notant : td(on) le temps de retard la monte, entre le dbut de la commande, caract-

    rise par le passage de f = 0 f = 1, et lobtention du dbut de la monte ducourant;

    Ouverture

    de linterrupteur (CO)

    Fermeture

    de linterrupteur (CF)

    Inconditionnel Spontan i = 0 v = 0

    Inconditionnel Provoqu G G

    Conditionnel Spontan & [i = 0] G & [v = 0]

    Conditionnel Provoqu [i > 0] & G [v > 0] & G

    G

  • A

    SYST

    MES

    LE

    CTR

    ON

    IQU

    ES D

    E C

    OM

    MA

    ND

    E

    2.2 Interrupteurs rels de puissance

    45

    D

    unod

    L

    a ph

    otoc

    opie

    non

    aut

    oris

    e e

    st u

    n d

    lit.

    2 Composantslectroniques

    td(off ) le temps de retard la descente entre le dbut de la commande, caract-rise par le passage de f = 1 f = 0, et lobtention du dbut de la descente ducourant,

    on obtient les relations :

    ton = td(on) + trtoff = td(off ) + tf

    On a vu quen lectronique de puissance, les qualits recherches pour un compo-sant de puissance sont : le courant quasi nul ltat bloqu (interrupteur ouvert); la tension quasi nulle ltat passant (interrupteur ferm); une dure trs courte de commutation, cest--dire de passage entre les deux tats.

    On appelle ton la dure totale de la commutation entre le dbut de la commande ducomposant la fermeture et lobtention 90 % du courant IM ltat conduc-teur de linterrupteur. De mme, on appelle toff la dure totale de la commuta-tion entre le dbut de la commande du composant louverture et lobtention 10 % du courant ltat conducteur de linterrupteur. On cherchera gnralementun composant ayant des valeurs de ton et de toff faibles (infrieures la microse-conde si possible);

    des temps de retard td(on) et td(off) trs courts afin de permettre (avec ton et tofffaibles) une commande haute frquence des convertisseurs de puissance(par exemple suprieure 10 kHz).

    Figure 2.2 Caractristiques dynamiques de linterrupteur rel.

  • 46

    2.2 Interrupteurs rels de puissance2 Composantslectroniques

    Si la commande de linterrupteur est priodique de priode T (ou Te), on peutdterminer la puissance moyenne dissipe par commutation, la fermeture et louverture.Si lon prend lorigine des temps au dbut dune fermeture, lexpression du courantest :

    , avec V = VM durant cette commutation

    Lnergie dissipe dans le composant lors de la monte du courant est donne par

    . De mme, pour la descente du courant, on trouve

    . Les pertes de puissance moyenne par commutation valent donc :

    La chute de tension dans le composant rel ltat de fermeture est note VF . Auxpertes par commutation, sajoutent les pertes de puissance ltat de conduction.Elles dpendent de la dure de conduction du composant par rapport la priode.En valeur maximale, elles valent :

    PF = IM VFRemarque : ltude en simulation sur le logiciel Pspice de la commutation duntransistor MOSFET prsente la figure 2.10 donne des rsultats sur les volu-tions du courant et de la tension assez diffrents de ceux qui sont indiqus ci-dessus, en particulier la figure 2.2.

    2.2.2 Les composants lectroniques rels

    La diode

    Cest le composant semi-conducteurs le plus simple, le moins coteux, le plusfiable. Mais il nest pas commandable. Une diode conduit ds que la tension sesbornes est positive (symbole v = 0) et se bloque quand le courant qui la traversetend vers 0 (symbole i = 0).En dfinitive, les changements dtat de la diode sont spontans et donns par lesrelations suivantes :

    la fermeture, CF = (v = 0) louverture, CO = (i = 0)

    En commutation (lors du changement dtat), on caractrise la diode au momentdu blocage par le temps de recouvrement inverse trr (reverse recovery time) qui estla dure pendant laquelle elle laisse passer un courant inverse jusquau blocagedfinitif. Ce temps est indispensable pour la charge du condensateur quivalent

    ( ) = Mr

    ti t I

    t

    = =0

    1d

    2

    tr

    r M M M M rr

    tW I V t I V t

    t

    = 12f M M f

    W I V t

    + + = =

    1

    2r f r f

    c M M

    W W t tP I V

    T T