Etude de matériaux diélectriques à très faible permittivité déposés ...

199
Institut National des Sciences Appliquées n o d’ordre : 06-ISAL-XXXX Année 2006 Thèse Étude de matériaux diélectriques à très faible permittivité déposés par voie chimique en phase vapeur développés pour l’isolation des interconnexions cuivre des circuits intégrés pour les générations technologiques 45 nm et 32 nm. Présentée pour l’obtention du grade de Docteur de l’Institut National des Sciences Appliquées de Lyon École Doctorale : Électronique, Électrotechnique et Automatique Spécialité : Dispositifs de l’Électronique Intégrée par Julien VITIELLO Soutenue publiquement le XX Décembre 2006 Composition du Jury : Rapporteurs : Jean Durand, Directeur de recherche, IEM, Montpellier Pierre Temple-Boyer, Directeur de recherche, LAAS, Toulouse Examinateurs : Daniel Barbier, Professeur, LPM, INSA de Lyon Joaquin Torres, Docteur-ingénieur, STMicroelectronics, Crolles Roland Madar, Directeur de recherche, LMGP, Grenoble Laurent-Luc Chapelon, Ingénieur, STMicroelectronics, Crolles Srdjan Kordic, Docteur, NXP Semiconductors, Crolles Thèse CIFRE préparée conjointement au LPM, Laboratoire de Physique de la Matière - INSA de Lyon - Bâtiment Blaise Pascal - 7 avenue Jean Capelle - 69621 Villeurbanne CEDEX et au sein de la société NXP Semiconductors - Alliance Crolles2 - 860 rue Jean Monnet - 38920 Crolles

Transcript of Etude de matériaux diélectriques à très faible permittivité déposés ...

Page 1: Etude de matériaux diélectriques à très faible permittivité déposés ...

Institut National des Sciences Appliquées

no d’ordre : 06-ISAL-XXXX Année 2006

Thèse

Étude de matériaux diélectriques à très faible

permittivité déposés par voie chimique en phase vapeur

développés pour l’isolation des interconnexions cuivre

des circuits intégrés pour les générations technologiques

45 nm et 32 nm.

Présentée pour l’obtention du grade de

Docteur de l’Institut National des Sciences Appliquées

de Lyon

École Doctorale : Électronique, Électrotechnique et Automatique

Spécialité : Dispositifs de l’Électronique Intégrée

par

Julien VITIELLO

Soutenue publiquement le XX Décembre 2006

Composition du Jury :

Rapporteurs : Jean Durand, Directeur de recherche, IEM, MontpellierPierre Temple-Boyer, Directeur de recherche, LAAS, Toulouse

Examinateurs : Daniel Barbier, Professeur, LPM, INSA de LyonJoaquin Torres, Docteur-ingénieur, STMicroelectronics, CrollesRoland Madar, Directeur de recherche, LMGP, GrenobleLaurent-Luc Chapelon, Ingénieur, STMicroelectronics, CrollesSrdjan Kordic, Docteur, NXP Semiconductors, Crolles

Thèse CIFRE préparée conjointement au

LPM, Laboratoire de Physique de la Matière - INSA de Lyon -

Bâtiment Blaise Pascal - 7 avenue Jean Capelle - 69621 Villeurbanne CEDEX

et au sein de la société

NXP Semiconductors - Alliance Crolles2 -

860 rue Jean Monnet - 38920 Crolles

Page 2: Etude de matériaux diélectriques à très faible permittivité déposés ...
Page 3: Etude de matériaux diélectriques à très faible permittivité déposés ...

Table des matières

Introduction générale 1

1 Les films diélectriques dans les interconnexions 31.1 Performances des interconnexions . . . . . . . . . . . . . . . . . . . . . . . . 4

1.1.1 Effet des interconnexions sur le retard de propagation du signal et lapuissance consommée . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.2 Propriétés requises pour les matériaux d’interconnexion avancée . . . 81.1.3 Métallisation cuivre et films diélectriques . . . . . . . . . . . . . . . . 13

1.2 Matériaux isolants interligne . . . . . . . . . . . . . . . . . . . . . . . . . . . 151.2.1 Évolution des isolants avec la densité d’intégration . . . . . . . . . . . 151.2.2 Solutions de matériaux diélectriques à très faible permittivité . . . . . 181.2.3 Procédés de réalisation de matériaux diélectriques poreux . . . . . . . 25

1.3 Barrières diélectriques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301.3.1 Rôle des couches barrières dans l’intégration d’interconnexions cuivre

multi-niveaux . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301.3.2 Évolution des matériaux barrières avec la technologie . . . . . . . . . 311.3.3 Alternative architecturale . . . . . . . . . . . . . . . . . . . . . . . . 33

2 Étude d’un matériau diélectrique poreux à très faible permittivité obtenupar l’approche non porogène 352.1 Dépôt chimique en phase vapeur assisté par plasma . . . . . . . . . . . . . . 36

2.1.1 Principe du dépôt chimique en phase vapeur (CVD) . . . . . . . . . . 362.1.2 Le plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372.1.3 Procédé Orion de dépôt par PECVD . . . . . . . . . . . . . . . . . . 41

2.2 Étude du phénomène de restructuration . . . . . . . . . . . . . . . . . . . . 452.2.1 Caractérisation du film après l’étape de dépôt . . . . . . . . . . . . . 452.2.2 Caractérisation du film après l’étape de recuit assisté par plasma . . . 502.2.3 Processus de restructuration . . . . . . . . . . . . . . . . . . . . . . . 56

2.3 Caractéristiques du film Orion . . . . . . . . . . . . . . . . . . . . . . . . . . 602.3.1 Relation structure-épaisseur . . . . . . . . . . . . . . . . . . . . . . . 612.3.2 Propriétés du film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

2.4 Étude de l’intégration de l’isolant d’interconnexion . . . . . . . . . . . . . . 692.4.1 Schéma d’intégration en technologie 65 nm . . . . . . . . . . . . . . . 692.4.2 Intégration d’un matériau à très faible permittivité . . . . . . . . . . 722.4.3 Solution pour réduire l’oxyde résiduel du masque dur . . . . . . . . . 76

2.5 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

iii

Page 4: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES MATIÈRES

3 Propriétés mécaniques du matériau isolant poreux 813.1 Paramètres critiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

3.1.1 Propriétés intrinsèques . . . . . . . . . . . . . . . . . . . . . . . . . . 823.1.2 Adhésion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

3.2 Mesure par nanoindentation . . . . . . . . . . . . . . . . . . . . . . . . . . . 893.2.1 Technique de mesure . . . . . . . . . . . . . . . . . . . . . . . . . . . 893.2.2 Mesure sur le film Orion . . . . . . . . . . . . . . . . . . . . . . . . . 923.2.3 Approche multicouche . . . . . . . . . . . . . . . . . . . . . . . . . . 100

3.3 Traitement pour l’optimisation des propriétés mécaniques . . . . . . . . . . . 1123.3.1 Techniques de traitement . . . . . . . . . . . . . . . . . . . . . . . . . 1123.3.2 Traitement ultraviolet sur monocouche épaisse ou multicouche . . . . 1163.3.3 Influence de la couche dense de surface . . . . . . . . . . . . . . . . . 125

3.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

4 Étude des barrières diélectriques à faible permittivité (εr<4,5) 1354.1 Effets de l’introduction des barrières diélectriques . . . . . . . . . . . . . . . 136

4.1.1 Évaluation de la modification de la permittivité effective . . . . . . . 1384.1.2 Paramètres clés du matériau barrière pour limiter la dégradation de la

permittivité effective . . . . . . . . . . . . . . . . . . . . . . . . . . . 1394.2 Matériaux barrières à faible permittivité . . . . . . . . . . . . . . . . . . . . 141

4.2.1 Étude d’une barrière monocouche . . . . . . . . . . . . . . . . . . . . 1414.2.2 Étude d’une barrière bicouche . . . . . . . . . . . . . . . . . . . . . . 145

4.3 Détermination des performances barrières . . . . . . . . . . . . . . . . . . . 1484.3.1 Détermination des propriétés barrières à la diffusion du cuivre . . . . 1494.3.2 Détermination des propriétés barrières à la diffusion d’oxygène . . . . 160

4.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

Conclusion générale 169

Bibliographie 171

A Plan d’expérience réalisé sur le procédé Orion 183

iv

Page 5: Etude de matériaux diélectriques à très faible permittivité déposés ...

Table des figures

1.1 Vue en coupe d’un circuit intégré en technologie 90 nm . . . . . . . . . . . . 41.2 Retard de propagation des signaux dans un circuit . . . . . . . . . . . . . . . 51.3 Schéma simplifié de deux lignes d’interconnexion pour le modèle RC. . . . . 61.4 Coupe schématique d’un condensateur plan soumis à un champ ~E. . . . . . . 81.5 Phénomènes de polarisation. . . . . . . . . . . . . . . . . . . . . . . . . . . . 91.6 Schémas simplifiés d’intégration pour la réalisation d’un niveau d’intercon-

nexion selon le métal employé pour les lignes conductrices. . . . . . . . . . . 141.7 Structures dérivées de l’oxyde de silicium amorphe pour réduire la permittivité

relative . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161.8 Évolution de la densité et de la porosité en fonction de la permittivité relative

pour les films isolants d’interconnexion . . . . . . . . . . . . . . . . . . . . . 181.9 Permittivité relative en fonction du taux de porosité pour un squelette de

silice et de SiOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191.10 Exemple de silice poreuse obtenue par le procédé aérogel/xérogel. . . . . . . 211.11 Exemple de silice poreuse obtenue par un procédé sol-gel auto-assemblé. . . . 221.12 Schémas possibles d’intégration de l’isolation par cavité avec le procédé cuivre

damascène. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231.13 Représentation de la structure élémentaire silsesquioxane pour un film diélec-

trique. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241.14 Schéma simplifié du dépôt d’un film SiOC poreux par l’approche « porogène ». 261.15 Schéma de formation d’un film SiOC poreux par l’approche de restructuration. 281.16 Évolution de la porosité et du module de Young avec la permittivité relative 291.17 Coupe schématique illustrant les deux types de barrière à la diffusion du cuivre

nécessaires dans un procédé damascène. . . . . . . . . . . . . . . . . . . . . . 301.18 Schéma de la barrière diélectrique lors de la gravure du niveau via. . . . . . . 311.19 Schémas en coupe comparant la barrière diélectrique à celle auto-positionnée

pour l’encapsulation des lignes de cuivre. . . . . . . . . . . . . . . . . . . . . 331.20 Problème rencontré avec l’approche barrière auto-positionnée seule dans l’ar-

chitecture damascène cuivre lors d’un désalignement du via. . . . . . . . . . 34

2.1 Principe du dépôt chimique en phase vapeur (CVD). . . . . . . . . . . . . . 362.2 Étapes de formation d’un film par CVD. . . . . . . . . . . . . . . . . . . . . 372.3 Schéma de l’équipement Trikon en vue de dessus. . . . . . . . . . . . . . . . 422.4 Schéma descriptif des étapes du procédé Orion. . . . . . . . . . . . . . . . . 432.5 Structure du film Orion après l’étape de dépôt. . . . . . . . . . . . . . . . . 47

v

Page 6: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES FIGURES

2.6 Caractérisation de la composition en profondeur d’un film Orion après l’étapede restructuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

2.7 Analyse de composition chimique en profondeur d’un film Orion par spectro-scopie Auger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

2.8 Caractérisation de la structure poreuse du film Orion par ellipsométrie poro-simétrique. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

2.9 Analyse FTIR du film Orion avant et après l’étape de recuit assisté par plasmad’hydrogène. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

2.10 Intéractions lors de l’étape de restructuration . . . . . . . . . . . . . . . . . . 572.11 Schéma possible de l’évolution de la structure du film lors de l’étape de re-

structuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582.12 Modèles utilisés pour la mesure d’épaisseur du film Orion par ellipsométrie

spectroscopique. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602.13 Variations des paramètres du modèle bicouche à gradient en fonction de

l’épaisseur du film. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612.14 Evolution de paramètres de structure du film Orion en fonction de son épaisseur. 622.15 Relation entre taux de porosité et permittivité relative pour les films SiOC

poreux. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642.16 Influence du vieillissement sur les caractéristiques du film Orion. . . . . . . . 652.17 Conductivité thermique mesurée pour différents films diélectriques en fonction

du taux de porosité. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672.18 Schéma d’intégration de l’approche double damascène avec masque dur, em-

preinte de la ligne dans le masque dur. . . . . . . . . . . . . . . . . . . . . . 712.19 Structures de test électrique utilisées pour déterminer les performances d’un

niveau d’interconnexion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 722.20 Caractéristiques électriques obtenues avec la structure peigne serpentin pour

différents films isolants. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732.21 Performances électriques des différents films isolants avec la structure peignes

interdigités. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 742.22 Vue de dessus par MEB de motifs après gravure de la ligne en fonction de

l’isolant poreux utilisé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752.23 Coupes MET dans la structure peignes interdigités. . . . . . . . . . . . . . . 762.24 Schéma en coupe et résultat électrique de l’influence de l’épaisseur du masque

dur d’oxyde. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 772.25 Courbe RC à partir des structures de test du métal 2 mesurée après réalisation

de ce niveau et après encapsulation finale. . . . . . . . . . . . . . . . . . . . 772.26 Influence du nombre de niveaux intégrés sur les performances électriques du

niveau de base . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

3.1 Courbe de contrainte en fonction de la déformation lors d’un essai de traction. 833.2 Schéma de principe de l’essai de dureté de type Vickers. . . . . . . . . . . . . 833.3 Exemple de détection d’interférences suite à la propagation d’une onde acous-

tique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 843.4 Modes possibles d’ouverture d’une fissure. . . . . . . . . . . . . . . . . . . . 863.5 Schéma de principe de la mesure par flexion quatre points. . . . . . . . . . . 873.6 Courbe classique enregistrée lors d’un essai de flexion quatre points. . . . . . 88

vi

Page 7: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES FIGURES

3.7 Schéma de l’équipement de nanoindentation. . . . . . . . . . . . . . . . . . . 893.8 Intéraction entre la pointe et la surface lors d’un essai de nanoindentation sur

un film mince. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 903.9 Courbe classique enregistrée lors d’un essai de nanoindentation représentant

la charge appliquée en fonction de la profondeur d’indentation. . . . . . . . . 913.10 Courbes de mesure des propriétés mécaniques du film Orion épais obtenues

par nanoindentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933.11 Méthodes de détermination des propriétés mécaniques à partir des courbes de

nanoindentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 943.12 Courbes des propriétés mécaniques du film Orion comparées à celles d’un film

SiOC dense et de deux films poreux obtenus par l’approche porogène. . . . . 963.13 Effets de l’écrasement de la zone poreuse lors d’un essai de nanoindentation

sur les caractéristiques enregistrées. . . . . . . . . . . . . . . . . . . . . . . . 973.14 Coupe de la zone d’indentation d’un film monocouche épais d’Orion observée

par MEB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 983.15 Relation entre permittivité relative et propriétés mécaniques pour les films

SiOC poreux. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 993.16 Évaluation du module réduit d’élasticité du film Orion déterminé par une

technique d’onde acoustique. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1003.17 Courbes schématiques pour la détermination des propriétés mécaniques du

film mince par nanoindentation sur des multicouches. . . . . . . . . . . . . . 1013.18 Courbe de dureté en fonction de l’épaisseur de la multicouche pour différentes

profondeurs d’indentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1023.19 Courbe du module d’élasticité en fonction de l’épaisseur du multicouche pour

différentes profondeurs d’indentation. . . . . . . . . . . . . . . . . . . . . . . 1033.20 Coupes après nanoindentation sur des multicouches observées par MEB. . . . 1043.21 Coupes de multicouches observées par MEB, illustrant l’effet d’un plasma à

l’interface sur le décollement après l’essai de nanoindentation. . . . . . . . . 1063.22 Linéarité de la mesure d’intensité du pic Si-O-Si par FTIR en fonction de

l’épaisseur de l’empilement . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1073.23 Influence du traitement aux interfaces sur la mesure de capacité par goutte

de mercure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1083.24 Analyse ToFSIMS de la surface du film Orion avec ou sans traitement de

surface de type plasma. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093.25 Mesure de l’adhésion entre le film Orion et une barrière diélectrique avec ou

sans traitement de l’interface. . . . . . . . . . . . . . . . . . . . . . . . . . . 1103.26 Énergies de dissociation des liaisons présentes dans un film SiOC poreux avec

leurs longueurs d’onde associées. . . . . . . . . . . . . . . . . . . . . . . . . . 1153.27 Schéma de principe de l’équipement de traitement thermique assisté par rayon-

nement ultraviolet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1163.28 Procédés pour évaluer le traitement thermique assisté par ultraviolet sur le

film Orion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1173.29 Spectre FTIR du film Orion après traitement alternatif ultraviolet comparé

aux spectres du film Orion avec le procédé standard. . . . . . . . . . . . . . 119

vii

Page 8: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES FIGURES

3.30 Effets du traitement additif sur l’épaisseur et la permittivité relative du filmOrion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

3.31 Courbes obtenues par nanoindentation sur les films traités avec le procédéultraviolet additif. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

3.32 Influence du temps de traitement et du type de gaz du procédé ultravioletadditif sur le module réduit d’élasticité du film Orion. . . . . . . . . . . . . . 123

3.33 Influence du traitement additif sur les propriétés mécaniques de multicouchesOrion déterminées par nanoindentation. . . . . . . . . . . . . . . . . . . . . . 124

3.34 Observation en coupe par MEB de l’empreinte laissée par l’indenteur pour lesempilements sans traitement aux interfaces, avec ou sans traitement ultraviolet.125

3.35 Effets du traitement additif sur l’épaisseur et la permittivité relative du filmOrion gravé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

3.36 Influence du recuit ultraviolet additif sur les propriétés mécaniques du filmOrion gravé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

3.37 Spectres infrarouges mesurés par FTIR du film Orion gravé après traitementadditif ultraviolet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

3.38 Influence du traitement additif ultraviolet sur le film Orion en fonction del’épaisseur de la couche dense de surface. . . . . . . . . . . . . . . . . . . . . 130

3.39 Mesure des propriétés mécaniques sur trois couches de 300 nm empilées pourle film gravé et non gravé en fonction du traitement d’interface. . . . . . . . 131

3.40 Variation de la capacité mesurée sur les empilements gravés et non gravés enfonction du traitement d’interface. . . . . . . . . . . . . . . . . . . . . . . . . 132

4.1 Schéma de principe de la structure d’interconnexions pour la simulation sousHF2D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

4.2 Effets de l’introduction d’une barrière SiCN dans un niveau d’interconnexionssur les caractéristiques de l’empilement. . . . . . . . . . . . . . . . . . . . . . 138

4.3 Influence des paramètres de la barrière diélectrique sur la dégradation de lapermittivité effective de la structure. . . . . . . . . . . . . . . . . . . . . . . 139

4.4 Schéma des deux solutions envisagées pour réduire la permittivité relative dufilm diélectrique SiCN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

4.5 Caractéristiques du film SiC obtenu avec le procédé Trikon. . . . . . . . . . . 1424.6 Caractéristiques du film SiC après le traitement de stabilisation. . . . . . . . 1444.7 Spectres infrarouges mesurés par FTIR sur le film SiC d’épaisseur 150 nm

avec ou sans traitement de stabilisation. . . . . . . . . . . . . . . . . . . . . 1454.8 Coupe MET de la bicouche SiCN avec analyse de la composition. . . . . . . 1464.9 Spectre infrarouge du film SiCN bicouche mesuré par FTIR. . . . . . . . . . 1474.10 Schéma de principe d’une ligne de cuivre illustrant les diffusions possibles

d’espèces conduisant à l’altération des performances des interconnexions. . . 1484.11 Schéma de la méthode de détermination par dissolution de l’efficacité en tant

que barrière à la diffusion du cuivre. . . . . . . . . . . . . . . . . . . . . . . 1504.12 Profil du cuivre dans l’oxyde mesuré par SIMS pour les deux échantillons de

référence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1514.13 Influence de la densité et de l’épaisseur de la barrière diélectrique sur sa ca-

pacité à bloquer la diffusion du cuivre. . . . . . . . . . . . . . . . . . . . . . 154

viii

Page 9: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES FIGURES

4.14 Influence du nombre de recuits (14 minutes de palier à 400C) sur la diffusiondu cuivre au travers des barrières diélectriques. . . . . . . . . . . . . . . . . 155

4.15 Vue de dessus par MEB de la surface de cuivre présentant des cavités au jointsde grain et vue en coupe avec l’empilement. . . . . . . . . . . . . . . . . . . 156

4.16 Influence de l’état de surface du cuivre sur la mesure de l’efficacité de barrière.1574.17 Observation au microscope optique du film SiC:N après dissolution . . . . . 1574.18 Profils de cuivre dans l’oxyde tampon pour différentes barrières diélectriques

mesurés par SIMS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1594.19 Performances de barrière à la diffusion du cuivre en fonction de la permittivité

relative des films évalués. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1604.20 Schéma de principe de la mesure de perméabilité d’une barrière diélectrique

à la diffusion d’oxygène gazeux. . . . . . . . . . . . . . . . . . . . . . . . . . 1614.21 Observations par microscopie électronique du film de cuivre oxydé. . . . . . 1624.22 Paramètres influents sur la méthode de mesure de la perméabilité des barrières

diélectriques. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1634.23 Evolution de la réflectivité de la surface de cuivre pour la couche SiC non

stabilisée en fonction du temps d’oxydation. . . . . . . . . . . . . . . . . . . 1644.24 Caractérisation de la diffusion d’oxygène au travers de la barrière SiC non

stabilisée. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1654.25 Mesure de la réflectivité pour différentes barrières en fonction du temps d’oxy-

dation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

A.1 Influence des paramètres du procédé sur l’épaisseur du film. . . . . . . . . . 184A.2 Variations de la contrainte interne en fonction des paramètres du procédé. . 185A.3 Estimation des effets des paramètres du procédé sur les variations de la per-

mittivité relative. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186A.4 Paramètres responsables du décollement de la couche. . . . . . . . . . . . . . 187

ix

Page 10: Etude de matériaux diélectriques à très faible permittivité déposés ...

TABLE DES FIGURES

x

Page 11: Etude de matériaux diélectriques à très faible permittivité déposés ...

Liste des tableaux

1.1 Polarisabilité électronique selon l’échelle de Pauling . . . . . . . . . . . . . . 121.2 Moment dipolaire et polarisabilité électronique de liaisons fréquemment ren-

contrées dans les films diélectriques . . . . . . . . . . . . . . . . . . . . . . . 121.3 Principales caractéristiques des matériaux isolants introduits dans les inter-

connexions jusqu’à la génération 65 nm . . . . . . . . . . . . . . . . . . . . . 151.4 Polarisabilité de différentes liaisons autour de la structure Si-O-Si . . . . . . 171.5 Exemples de polymères organiques étudiés comme isolants d’interconnexion. 201.6 Exemples de précurseurs employés pour l’approche biphasée . . . . . . . . . 271.7 Matériaux employés comme couche barrière dans l’architecture damascène

cuivre. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.1 Caractéristiques typiques d’un plasma froid radiofréquence. . . . . . . . . . . 382.2 Paramètres standard pour obtenir un film SiOC poreux par l’approche re-

structuration (procédé Orion). . . . . . . . . . . . . . . . . . . . . . . . . . . 432.3 Paramètres du procédé pour l’étape de dépôt. . . . . . . . . . . . . . . . . . 452.4 Produits de réaction détectés en sortie de la chambre de dépôt . . . . . . . . 462.5 Propriétés du film après l’étape de dépôt à 35C. . . . . . . . . . . . . . . . 462.6 Table des modes de vibration en absorption infrarouge pour les matériaux de

type SiOC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492.7 Paramètres du procédé pour l’étape de recuit assisté par plasma . . . . . . . 502.8 Éxpérimentations autour du procédé de restructuration. . . . . . . . . . . . . 592.9 Caractéristiques électriques du film Orion déterminées par goutte de mercure. 632.10 Résultats électriques du film Orion pour le test de reprise d’humidité. . . . . 662.11 Dimensions de la structure d’interconnexion au niveau métal 2 pour l’archi-

tecture 65 nm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

3.1 Résultats de la mesure des propriétés mécaniques à partir d’une courbe denanoindentation selon différentes méthodes. . . . . . . . . . . . . . . . . . . 95

3.2 Propriétés mécaniques des films multicouches avec ou sans traitement plasmaaux interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

3.3 Énergie moyenne apportée par les différents types de traitement. . . . . . . . 1143.4 Conditions testées pour le traitement thermique assisté par ultraviolet dans

le procédé alternatif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1183.5 Mesure des propriétés mécaniques par nanoindentation sur film épais pour

les 6 conditions du traitement alternatif comparé au traitement standard parrecuit assisté par plasma H2. . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

xi

Page 12: Etude de matériaux diélectriques à très faible permittivité déposés ...

LISTE DES TABLEAUX

3.6 Conditions testées pour le traitement thermique assisté par ultraviolet enposition additive. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

3.7 Conditions du traitement de gravure pour retirer la zone dense de surface. . 1253.8 Conditions du traitement additif assisté par ultraviolet testées sur le film

Orion gravé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

4.1 Paramètres de la structure de simulation pour les 3 générations technologiquesconsidérées. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

4.2 Paramètres du procédé de dépôt pour le film SiC d’épaisseur 40 nm. . . . . . 1424.3 Paramètres des trois types de plasma de stabilisation pour le film SiC. . . . . 1434.4 Caractéristiques du film bicouche . . . . . . . . . . . . . . . . . . . . . . . . 1454.5 Mesures de la quantité de cuivre qui a diffusé dans la couche tampon par

SIMS ou par dissolution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1534.6 Résultats de mesure par SIMS et par dissolution de la diffusion de cuivre pour

les barrières avancées. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

A.1 Variations des paramètres du procédé Orion pour le plan d’expérience. . . . 183

xii

Page 13: Etude de matériaux diélectriques à très faible permittivité déposés ...

Introduction générale :Évolutions technologiques dans lescircuits intégrés

La découverte du transistor en 1947 par John Bardeen, Walter Brattain et WilliamSchockley, a ouvert la voie de l’électronique moderne. Il a cependant fallu attendre le dé-veloppement de cette application sur silicium en 1954 et son association à la technologie« planar » inventée en 1958 pour réellement voir les premiers pas de la microélectronique sursilicium. Au début des années 60, les premiers circuits intégrés commerciaux voient le jour.En 1965, Gordon Moore montre, dans le cas des mémoires, que sur les 6 années passées « lacomplexité permettant de minimiser le coût des composants a été multipliée chaque annéeà peu près par un facteur deux ». Il prédit aussi que ce taux de croissance peut se maintenirdurant les 10 années à venir [Moo65]. Cette observation va devenir pour l’industrie de la mi-croélectronique le rythme à suivre pour conserver un rapport complexité-coût de fabricationacceptable. Ce rythme de croissance est réévalué en 1975 pour donner la loi de Moore tellequ’on la connaît : un doublement du nombre de transistors par unité de surface tous les 18mois.

Ainsi, la taille du transistor est réduite d’une génération à l’autre pour permettre d’aug-menter la densité d’intégration. Cette diminution s’accompagne évidemment d’une réductionde la taille des lignes qui permettent de relier les transistors entre eux pour réaliser les fonc-tionnalités désirées (interconnexions). Les générations actuelles de circuit, dénommées selonla largeur de la grille du transistor, atteignent des valeurs inférieures à 100 nm pour unedensité d’intégration dépassant la centaine de millions de transistors par cm2 avec un réseaud’interconnexions devenu extrêmement complexe sur plusieurs niveaux (entre 5 et 10). Cedéveloppement vers des dimensions toujours plus faibles n’a été possible que par une évo-lution profonde des technologies de fabrication employées repoussant toujours plus loin leslimites physiques qu’avaient fixées les générations précédentes.

Si pendant longtemps le transistor est resté le seul frein à la performance des circuitsintégrés, la réduction des dimensions donne aujourd’hui un rôle de plus en plus prépondérantaux interconnexions. Il a notamment fallu remplacer l’aluminium par le cuivre comme métalconstitutif des lignes d’interconnexions. Après un règne sans partage de l’oxyde de siliciumamorphe (SiO2) comme isolant de ces lignes, de nouveaux matériaux avec une permittivitédiélectrique plus faible, dérivant de cet oxyde, ont dû être introduits pour maintenir lesperformances des interconnexions au niveau de celles des transistors.

L’emploi d’oxyde de silicium dopé au fluor (SiOF) puis dopé au carbone (SiOC) a permisde satisfaire par un développement en continu les besoins en performance des générations

1

Page 14: Etude de matériaux diélectriques à très faible permittivité déposés ...

Introduction générale

actuelles. Les prochaines générations requièrent des matériaux aux performances encore pluspoussées qui passent par l’introduction de films minces poreux. Véritable saut technologiquepour l’ensemble de la chaîne de réalisation des interconnexions, ils présentent de nouvellescaractéristiques tant du point de vue du film lui-même, que de son interaction avec lesautres matériaux à la base des interconnexions, mais aussi vis à vis des différentes étapesqui conduisent à la réalisation d’un circuit intégré.

De plus, le passage à la métallisation cuivre a imposé l’emploi de films barrières pourencapsuler les lignes, à cause d’un coefficient de diffusion très élevé. Parmi ces barrières, unfilm diélectrique est employé pour protéger le dessus des lignes. Il permet à la fois de bloquerla diffusion du cuivre vers les niveaux supérieurs et sert d’arrêt de gravure lors de la réalisa-tion du niveau supérieur. L’introduction de cette couche joue comme l’isolant des lignes surles performances de l’empilement. Elle doit donc suivre, d’une génération à la suivante, laréduction de permittivité relative. Cette marche à suivre ne doit pas se faire au détrimentdes propriétés qui viennent d’être citées.

Le travail de thèse s’inscrit dans une thématique d’évaluation de ces nouveaux matériauxdiélectriques, nécessaires pour répondre au besoin de performance des prochaines générationsde circuits intégrés. L’objectif est d’évaluer les matériaux diélectriques à très faible permit-tivité, déposés par voie chimique en phase vapeur assisté par plasma (en anglais PlasmaEnhanced Chemical Vapor Deposition, ou PECVD), développés pour l’isolation des inter-connexions cuivre des circuits intégrés des générations technologiques 45 nm et 32 nm.

Le premier chapitre présente le contexte dans lequel intervient le développement desnouveaux matériaux diélectriques poreux, et des barrières employées pour bloquer la diffusiondu cuivre. Un état de l’art est présenté ainsi que les difficultés majeures à l’introduction deces films dans les interconnexions.

Le deuxième chapitre est focalisé sur l’évaluation d’un matériau diélectrique poreux,proposé par Trikon avec le procédé Orion. La compréhension des phénomènes conduisantà la formation du film est d’abord présenté. Puis les propriétés principales du film sontétudiées avec le développement des techniques pour le caractériser. Enfin, cette approcheOrion est intégrée dans l’architecture 65 nm pour évaluer les avantages et inconvénients descaractéristiques particulières du film sur les performances d’un niveau d’interconnexion.

Les propriétés mécaniques de ce film poreux sont étudiées dans le troisième chapitre.Leur détermination par nanoindentation est abordée en premier. Puis une technique d’amé-lioration de ces propriétés est présenté : le traitement thermique assisté par rayonnementultraviolet.

Le dernier chapitre s’attache à présenter deux approches pour réaliser des barrières di-électriques à faible permittivité : une approche monocouche d’un film SiC, et une approchebicouche d’un film SiCN. Deux techniques de caractérisation des propriétés barrières critiquesont été mises au point pour évaluer ces films.

2

Page 15: Etude de matériaux diélectriques à très faible permittivité déposés ...

Chapitre 1

Les films diélectriques dans lesinterconnexions

Sommaire1.1 Performances des interconnexions . . . . . . . . . . . . . . . . . . . . . . . 4

1.1.1 Effet des interconnexions sur le retard de propagation du signal et la puissanceconsommée . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

Retard de propagation du signal . . . . . . . . . . . . . . . . . . . . . . . . . 5

Puissance consommée . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

1.1.2 Propriétés requises pour les matériaux d’interconnexion avancée . . . . . . . . 8

Permittivité relative d’un diélectrique . . . . . . . . . . . . . . . . . . . . . . 8

Phénomènes de polarisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

Permittivité relative et polarisation . . . . . . . . . . . . . . . . . . . . . . . . 10

Permittivité relative et liaisons . . . . . . . . . . . . . . . . . . . . . . . . . . 11

1.1.3 Métallisation cuivre et films diélectriques . . . . . . . . . . . . . . . . . . . . 13

1.2 Matériaux isolants interligne . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

1.2.1 Évolution des isolants avec la densité d’intégration . . . . . . . . . . . . . . . 15

1.2.2 Solutions de matériaux diélectriques à très faible permittivité . . . . . . . . . 18

Polymères organiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Silice poreuse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

Alternative architecturale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

Dérivés des films SiOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

1.2.3 Procédés de réalisation de matériaux diélectriques poreux . . . . . . . . . . . 25

Procédés de réalisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

Approches physiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

1.3 Barrières diélectriques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

1.3.1 Rôle des couches barrières dans l’intégration d’interconnexions cuivre multi-niveaux . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

1.3.2 Évolution des matériaux barrières avec la technologie . . . . . . . . . . . . . . 31

1.3.3 Alternative architecturale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

3

Page 16: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

1.1 Performances des interconnexions

Un circuit intégré standard en technologie planar sur substrat de silicium est composéde transistors (servant de portes logiques) reliés entre eux par un réseau de lignes pourréaliser les fonctions logiques désirées. Ainsi, trois zones se distinguent communément dansun circuit intégré (figure 1.1). La zone dite active où sont localisés les transistors se trouvedans la proche surface de la tranche de silicium. Cette zone est le cœur de fonctionnement ducircuit. La zone d’interconnexions (incluant plusieurs niveaux successifs reliés entre eux pardes plots conducteurs verticaux appelés vias) sert de lien entre les transistors. Elle permetd’amener le courant jusqu’à la zone active et de le répartir. Enfin la dernière zone correspondà l’interface entre le circuit à proprement parler et l’extérieur au travers des lignes d’entréeet de sortie des données et des amenées de courant.

Figure 1.1 – Vue en coupe au microscope électronique à balayage (MEB) d’un circuit intégréen technologie 90 nm (source IBM).

La zone qui nous intéresse ici est celle des interconnexions. Elle se compose elle-même detrois parties selon le niveau métallique que l’on considère (figure 1.1). Les niveaux globauxqui sont les plus proches de la zone d’interface avec l’extérieur régissent les fonctions généralescomme par exemple la distribution d’horloge pour synchroniser les opérations. Les lignes quicomposent ces niveaux peuvent traverser l’ensemble du circuit. Les niveaux intermédiairesen dessous permettent de relier les différents blocs fonctionnels. Enfin les niveaux les plusbas servent à la connexion des transistors adjacents. Ce sont les niveaux présentant la plusforte densité d’intégration avec les dimensions les plus faibles. En terme de performance pourle circuit, ces niveaux sont les plus critiques de la zone d’interconnexion. Ils requièrent doncles matériaux avec les caractéristiques les plus avancées. Il est à noter que ces niveaux sont

4

Page 17: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.1. PERFORMANCES DES INTERCONNEXIONS

aussi les plus sollicités par les différentes étapes du procédé de fabrication, aussi bien auniveau mécanique que chimique, du fait de la densité d’intégration la plus élevée.

1.1.1 Effet des interconnexions sur le retard de propagation du si-gnal et la puissance consommée

Illustrée par la loi de Moore, la densité d’intégration des circuits ne cesse d’augmenter degénération en génération : les dimensions de base du circuit sont divisées par deux tous les18 mois. Nous allons voir dans cette sous-section que cette évolution a des répercutions sifortes sur les performances des interconnexions que cela influence aussi le circuit, notammentpar le retard de propagation du signal et la puissance consommée qui proviennent de certainescaractéristiques des matériaux employés.

- Retard de propagation du signal -

En réduisant la taille des transistors et donc la distance entre eux, il semble logiquede réduire le retard dû à la propagation du signal et donc d’améliorer les performances ducircuit par ce simple facteur géométrique. Si cette simple hypothèse est vraie pour le tran-sistor1, il en est tout autrement pour la partie interconnexion (figure 1.2). La réduction desdimensions entraîne une très forte augmentation du retard de propagation du signal dansles interconnexions. Ainsi, les performances du circuit depuis la génération sub-150 nm sontdirigées par les performances des interconnexions et se dégradent d’une génération à l’autresi les matériaux employés restent les mêmes. Les performances sont liées à la vitesse de pro-

100 200 300 400 500 6000

2

4

6

8

10

12

14

16

18

20

Ret

ard

(ps)

Génération technologique (nm)

Transistor Interconnexion Total

Figure 1.2 – Retard de propagation des signaux dans un circuit intégré en fonction de lagénération technologique (Source SEMATECH).

1La réduction de la taille du transistor permet de diminuer la distance à parcourir pour les électrons autravers de ce dispositif et donc de réduire le retard de propagation du signal.

5

Page 18: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

pagation d’une onde électromagnétique dans une ligne conductrice immergée dans un milieucomplexe, mélange de différents isolants et des autres lignes environnantes. La modélisationde cette propagation la plus complète, de type RLCG, tient compte des effets inductifs (L),capacitifs (C), et des pertes métalliques (R) et diélectriques (G). Du fait de la section étroitedes conducteurs utilisés, des propriétés électriques élevées requises pour les diélectriques etde la fréquence de fonctionnement des circuits, le modèle peut être simplifié au produit RCqui donne le temps de retard de propagation du signal dans une interconnexion. Ce modèlepeut être étudié dans une configuration simple de deux lignes adjacentes pour comprendrela dégradation des performances des interconnexions (figure 1.3).

Figure 1.3 – Schéma simplifié de deux lignes d’interconnexion pour le modèle RC.

Regardons en premier la résistance pour réduire le retard de propagation du signal. Elleprovient de la ligne métallique et peut s’aborder de façon simple selon l’équation :

R = ρL

WH(1.1)

avec ρ la résistivité du conducteur, L la longueur de la ligne, W la largeur de la ligne etH son épaisseur (voir figure 1.3). C’est ainsi que l’aluminium a été remplacé par le cuivredès la technologie 120 nm car il possède une résistivité plus faible. Il permet par ailleursd’améliorer la résistance à l’électromigration2 qui est un phénomène critique pour la fiabilitédes dispositifs [HR04]. Cependant, comme chaque nouvelle technologie correspond à uneréduction des dimensions de base des interconnexions de 1√

2par rapport à la précédente (soit

un facteur 0,7 environ), la résistance croît globalement du seul point de vue géométrique d’unfacteur

√2. Ainsi, depuis l’introduction du cuivre, le paramètre résistance est dégradé d’une

génération à l’autre.Il faut donc agir sur le terme de capacité pour limiter la dégradation du retard de pro-

pagation du signal avec la réduction des dimensions. Ce paramètre C peut lui aussi s’écrire

2L’électromigration est un déplacement d’atomes du conducteur métallique sous l’effet du courant d’élec-trons. Cela entraîne la formation localisée d’un vide dans la ligne allant jusqu’à la rupture de cette ligne.

6

Page 19: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.1. PERFORMANCES DES INTERCONNEXIONS

de façon simplifiée (en considérant nos deux lignes adjacentes comme un condensateur plan)par :

C = ε0εrLE

e(1.2)

où ε0 est la permittivité du vide (8,8542.10−12 F.m−1), L, E et e sont les paramètres géo-métriques respectivement de surface des conducteurs en regards et de distance entre cesconducteurs (voir figure 1.3), enfin εr est la permittivité relative du milieu isolant séparantles deux conducteurs. Dans ce cas, les paramètres géométriques permettent une réduction dela capacité, d’une technologie à l’autre, d’un facteur 1√

2. Cela vient compenser globalement

la perte sur la résistance.Malheureusement, la résistivité du cuivre croît avec la diminution de la taille des lignes

à cause de phénomènes de diffraction des électrons se propageant dans la ligne [Moo03]. Cesphénomènes s’accentuent pour les générations sub-100 nm. C’est pourquoi il est nécessairede réduire la permittivité relative des isolants présents dans les interconnexions pour com-penser ces effets et permettre l’amélioration des performances du circuit d’une générationtechnologique à l’autre.

Il est à noter qu’en considérant la ligne au cœur de l’empilement, le phénomène de diapho-nie peut intervenir dans le cas d’une structure avec un espace réduit entre lignes adjacentes.Il est dû à un couplage capacitif entre une ligne et son environnement métallique. Plusieurslignes actives peuvent induire un signal parasite sur une ligne au repos. L’amplitude de cesignal est fonction de la distance entre la ligne au repos et les lignes actives, mais aussi dunombre de ces lignes actives. Ce phénomène entraîne des fautes de signal qui compromettentle bon fonctionnement du circuit. Pour évaluer cet effet, il faut regarder le coefficient decouplage Qc qui correspond au rapport de la capacité de couplage entre lignes adjacentessur la capacité propre de la ligne, c’est à dire ramenée au plan de masse (figure 1.3). Cephénomène de diaphonie peut aussi induire un retard de propagation du signal limitant lesperformances du circuit [Arn03a, Yam99].

- Puissance consommée -

La puissance consommée est le deuxième paramètre critique en terme de performancepour les interconnexions. L’augmentation de la fréquence de fonctionnement des circuits etde la densité de transistors conduit à une augmentation de la puissance consommée. Ellepeut être divisée en deux contributions selon que l’on regarde la puissance consommée enstatique ou en dynamique [Mae03].

En statique, la puissance consommée correspond en fait aux fuites de courant entreles lignes. Ces courants passent soit aux interfaces des couches présentes dans les inter-connexions, soit dans le cœur des couches isolantes. Dans le deuxième cas, l’efficacité estdirectement liée aux propriétés des films diélectriques utilisés pour isoler les lignes. C’estpourquoi la mesure du courant de fuite pour les films isolants est un paramètre à prendreen compte.

La puissance dynamique est donnée par l’équation suivante :

P = αCfV 2 (1.3)

où P est la puissance consommée, α est l’activité de la ligne (c’est à dire lorsqu’un signalpasse dans la ligne), f est la fréquence, V la tension appliquée et C peut être décomposée

7

Page 20: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

comme :C = Centree + Csortie + Cligne (1.4)

qui donne la somme des capacités d’entrée et de sortie du transistor et de la capacité de laligne elle-même. Cette puissance est dissipée chaque fois qu’un signal traverse une ligne.

Comme pour le retard de propagation du signal, la puissance consommée peut être modu-lée par la valeur de capacité induite par les matériaux isolants présents dans l’empilement, etdonc par la valeur de permittivité relative de ces matériaux. Il faut maintenant comprendred’où provient cette caractéristique pour les matériaux isolants, et quels sont les moyens pourla faire varier.

1.1.2 Propriétés requises pour les matériaux d’interconnexion avan-cée

Comme nous venons de le voir, la permittivité relative est le paramètre clé pour lesisolants dans les interconnexions lorsque l’on regarde les performances du circuit en termede rapidité et de consommation. Cette permittivité relative s’explique aisément à l’échellemacroscopique mais c’est l’échelle microscopique qui donne les paramètres de base de sonévolution. Le lien entre les deux permet de comprendre les leviers physiques du matériau quila régissent.

- Permittivité relative d’un diélectrique -

Si l’on considère un condensateur plan dans le vide, il possède une capacité C0. Enintroduisant un diélectrique entre ces armatures, on constate une augmentation de la capacitéC. Le rapport de ces deux capacités définit la permittivité relative du diélectrique : εr =C/C0. C’est une caractéristique du matériau introduit.

En regardant de plus près le matériau diélectrique lorsqu’il est placé entre les armaturesdu condensateur et soumis à un champ électrique ~E qui est maintenu constant, l’isolantporte des charges électriques qui viennent compenser l’action des charges formées sur lesarmatures (figure 1.4). C’est cette densité de charge σ ramenée à la surface des armaturesqui décrit la capacité. En fait, cela correspond à l’apparition d’une mince couche de chargesnégatives sur une des armatures et positives sur l’autre. Le milieu diélectrique quant à lui secomporte de façon à compenser ces charges avec l’apparition de moments dipolaires répartis

Figure 1.4 – Coupe schématique d’un condensateur plan soumis à un champ ~E.

8

Page 21: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.1. PERFORMANCES DES INTERCONNEXIONS

de façon homogène dans l’ensemble du matériau. On parle ainsi de polarisation ~P [Coe93].A cette échelle macroscopique, la polarisation par unité de volume est reliée à la permittivitérelative par la relation suivante :

~P = ε0(εr − 1) ~E (1.5)

Cette polarisation contient plusieurs sources à l’échelle microscopique qu’il faut appréhen-der pour comprendre les caractéristiques du matériau à l’origine de la permittivité relative.

- Phénomènes de polarisation à l’échelle microscopique -

La polarisation à l’échelle moléculaire dans un matériau diélectrique peut être de 3 typesselon l’élément microscopique que l’on sollicite : polarisation électronique, ionique ou di-polaire, encore appelée d’orientation (figure 1.5(a)) [Fou03]. On parle dans chaque cas depolarisabilité qui est la réponse de l’entité considérée sous l’action du champ électrique.

(a) Différents types de polarisation à l’échelle moléculaire. (b) Réponse en fréquence de la polari-sation d’un diélectrique.

Figure 1.5 – Phénomènes de polarisation.

Le premier type correspond au déplacement des électrons par rapport au noyau ato-mique. Il traduit l’aptitude du nuage électronique à la déformation sous l’action d’un champélectrique. Cette polarisation a un temps d’établissement très court de l’ordre de 10−15 s.La valeur de cette polarisabilité peut être estimée en utilisant un modèle électrostatiquesimple. L’atome est défini comme un noyau positif au centre d’une sphère négative char-gée uniformément. On obtient une relation entre la polarisabilité αe et le cube du rayonatomique r :

αe = 4πε0r3 (1.6)

Le second type de polarisation dit ionique (αi) s’obtient lorsque le champ appliqué produit undéplacement mutuel des ions constituant le milieu diélectrique. Les éléments mis en jeu étantplus lourds que pour la polarisation électronique, le temps d’établissement est moins rapide,entre 10−13 et 10−12 s. Enfin, la polarisation dipolaire αd est l’orientation dans le sens du

9

Page 22: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

champ électrique des dipôles permanents présents dans le matériau. Ce sont des molécules,appelées polaires, dont la structure est asymétrique : le centre de gravité résultant de toutesses charges positives ne coïncide pas avec celui de toutes ses charges négatives (la moléculeest un dipôle électrique). Les molécules non polaires n’ont pas par définition de momentdipolaire permanent. Cette polarisation d’orientation mettant en jeu des groupes d’atomes,elle possède le temps d’établissement le plus lent, entre 10−11 et 10−9 s. Elle peut s’exprimerde façon simple pour une molécule par l’équation suivante :

αd =p2

3kT(1.7)

avec k la constante de Boltzmann (1,3806.10−23 J.K−1), T la température et p le momentdipolaire de la molécule. La polarisation macroscopique résulte de la somme de ces troiscontributions microscopiques pour un milieu diélectrique.

Pour un champ électrique alternatif, ces 3 contributions réagissent sur des plages différentesde fréquence car elles font appel à des éléments dans le matériau qui possèdent des tempsde réponse très différents (figure 1.5(b)). L’augmentation de la fréquence entraîne la dispa-rition progressive de chacune des contributions. Ceci est dû au temps de réponse de l’entitéà polariser qui n’est pas assez court pour suivre les variations du champ électrique.

Il est à noter qu’à partir de l’équation de Maxwell, εr = (n+ ik)2, qui relie la permittivitérelative à l’indice optique complexe, un matériau diélectrique transparent (k=0) et pratique-ment non polaire qui présente le seul effet de polarisation électronique, voit sa permittivitérelative directement reliée à l’indice optique du matériau εr = n2.

- Relation entre permittivité diélectrique et polarisation à l’échelle microsco-pique -

Comme pour la relation à l’échelle macroscopique entre ~P et ~E, il existe une relation àl’échelle moléculaire entre ~P et le champ électrique local ~Elocal :

~P = Nα~Elocal (1.8)

avec N la concentration moléculaire et α la somme des polarisations à l’échelle microscopique.Il faut maintenant relier cette polarisabilité à la permittivité relative.

Dans le cas de molécules non polaires qui possèdent une polarisabilité moyenne α, quisont très diluées pour ne pas perturber le champ appliqué, ~P macroscopique est identique àcelui microscopique. On en tire :

εr = 1 +Nα

ε0

(1.9)

Ceci est applicable aux gaz tels que l’azote ou l’oxygène. Dans ce cas la permittivité relativeest une constante quelque soit la fréquence du champ appliqué puisque α ne représente quela polarisation électronique. A pression atmosphérique, cette valeur n’est que de quelquesmillièmes au-dessus de celle du vide (εr(vide) = 1).

Pour les molécules polaires, dans le même cas de forte dilution, il faut ajouter la pola-risation d’orientation. La valeur de permittivité relative dépend maintenant de la fréquence

10

Page 23: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.1. PERFORMANCES DES INTERCONNEXIONS

du champ électrique appliqué. Si cette valeur est suffisamment basse pour permettre l’éta-blissement de la polarisation d’orientation, l’équation précédente devient :

εr = 1 +N

ε0

(α +p2

3kT) (1.10)

avec dans ce cas α égal à la somme des polarisations électroniques et ioniques. Cette relationest restreinte comme pour les molécules non polaires aux cas de forte dilution car elle netient pas compte de l’effet des molécules sur le champ électrique qu’elles subissent, ni desinteractions éventuelles entre molécules.

Ces deux cas simples mettent en évidence l’importance de la polarisation d’orientationqui s’applique dans le cas des matériaux diélectriques. Cependant du fait de la quantité demolécules ou d’entités polaires, ou simplement polarisables, présentes dans ces matériaux, lechamp local n’est pas égal au champ électrique global appliqué et donc la relation précédenten’est pas applicable. H.A. Lorentz a imaginé un modèle pour lier ces deux champs, local etappliqué. Le modèle de Clausius-Mossotti utilise cette approche pour relier permittivitérelative et polarisabilité selon l’équation suivante :

εr − 1

εr + 2=

N

3ε0

(α +p2

3kT) (1.11)

avec α les contributions de la polarisation électronique et ionique. Des expressions plusrigoureuses ont été établies à partir de modèles du champ local plus proches de la réalitémoléculaire comme le modèle d’Onsager, de Kirkwood ou encore de Fröhlich [Ons36, Kir39,Fro58]. Cependant les termes caractérisant les propriétés physiques du film qui influencentla permittivité relative restent les mêmes. Il est donc clair à partir de l’équation (1.11) quele type de liaison atomique présent dans le matériau au travers de sa polarisabilité (αglobal)et sa quantité par unité de volume (N) permettent de faire varier la permittivité relative.Dans le cas des isolants pour les interconnexions, la fréquence de fonctionnement des circuitsest suffisamment basse pour solliciter l’ensemble des contributions de polarisabilité.

- Permittivité relative et liaisons atomiques -

La polarisabilité des liaisons est l’un des deux facteurs pour réduire la permittivité rela-tive. Le tableau 1.1 donne la polarisabilité électronique pour les principaux ions constituantles films diélectriques envisagés dans les interconnexions. Ces valeurs sont obtenues en me-surant l’indice optique à très haute fréquence de cristaux constitués de l’ion considéré quipermet de ne solliciter que la contribution électronique de la polarisation. Le tableau 1.2présente quant à lui les valeurs du moment dipolaire et de la polarisabilité électronique desliaisons les plus rencontrées dans ces films minces diélectriques.

L’ion oxygène à une polarisabilité électronique très importante par rapport au silicium,au fluor ou au carbone, ce qui en fait un candidat à éviter (tableau 1.1). En revanche, lesliaisons carbonées sont à privilégier de ce point de vue (tableau 1.2). Les doubles ou triplesliaisons sont à éviter ainsi que les liaisons de type O-H. Cette tendance ne se retrouve paspour la contribution du moment dipolaire. Dans ce cas, les liaisons homo-atomiques sontpréférables au contraire des liaisons dont la différence d’électronégativité est grande entreles deux atomes considérés, tel que Si-F ou Si-O. Il est donc évident qu’un compromis doit

11

Page 24: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

Tableau 1.1 – Polari-sabilité électronique selonl’échelle de Pauling (en Å3)[Kit94].

Ion Polarisabilité

Si4+ 0,0165

O2− 3,88

F− 1,04

C4+ 0,0013

Tableau 1.2 – Moment dipolaire et polarisabilité élec-tronique de liaisons fréquemment rencontrées dans lesfilms diélectriques [Car00, Lid03, Mor00, Rem03].

Moment Dipolaire Polarisabilité

Liaisons (Debye) électronique (Å3)

C - C 0 0,531

C - F 0,65 0,555

C - O 0,74 0,584

C - H 1,46 0,652

O - H 1,66 0,706

C = O 2,3 1,02

C = C 0 1,643

C ≡ C 0 2,036

C ≡ N 3,5 2,239

Si - F 1,23 -

Si - O 3,1 -

Si - H 0,12 -

Si - C 1,7 -

être trouvé entre les différentes contributions de polarisation microscopique pour obtenir lesliaisons les moins polarisables dans leur ensemble.

Un autre paramètre, qui joue un rôle très important sur la permittivité relative, estl’encombrement des atomes et groupement d’atomes présents dans le film. Ils modifient eneffet la quantité de liaisons mises en jeu dans les phénomènes de polarisation et permettentde jouer sur le terme N de l’équation 1.11 (page 11). Du point de vue du moment dipolaire,la liaison Si-H est un avantage. Mais la petite taille de l’hydrogène et de sa liaison avecle silicium va laisser de la place pour une grande quantité de liaisons, voire pour d’autresliaisons plus néfastes en terme de permittivité relative. A l’inverse, un groupement d’atomestype -CH3 qui se compose de liaisons à polarisabilité importante peut contrebalancer un seulatome par un gain sur la réduction de densité, grâce à son encombrement stérique important.

Il apparaît maintenant qu’un choix judicieux du type de liaison formant le matériau di-électrique doit être fait pour optimiser les contributions à la permittivité relative. Cependant,cette optimisation ne doit pas se faire au détriment des autres caractéristiques du film carl’environnement d’interconnexion fait subir de nombreuses sollicitations notamment au ni-veau mécanique et l’on pressent déjà que la réduction de densité si elle est utile pour réduire

12

Page 25: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.1. PERFORMANCES DES INTERCONNEXIONS

la permittivité relative aura un effet sur les propriétés mécaniques du film.

1.1.3 Métallisation cuivre et films diélectriques

Le cuivre a remplacé l’aluminium pour diminuer la résistivité des lignes conductrices etaméliorer la résistance à l’électromigration. Pour réaliser cela, il a fallu revoir tout le schémad’intégration à cause de deux propriétés du cuivre :

– le cuivre possède un coefficient de diffusion très élevé. Présent dans le silicium, c’estun centre profond qui dégrade les performances des transistors. C’est pourquoi il estobligatoire de l’encapsuler par des barrières pour éviter toute diffusion dans le circuit ;

– le cuivre est très difficile à graver par rapport à l’aluminium sans former des contami-nants corrosifs. C’est la propriété qui a le plus influé sur le schéma d’intégration.

La figure 1.6 présente les deux schémas simplifiés d’intégration de l’aluminium et du cuivre[Mar97]. Dans ce deuxième cas, on parle de technologie damascène car les lignes de cuivre sontinsérées dans l’isolant, à l’inverse de l’aluminium où c’est l’espace entre les lignes métalliquesqui doit être rempli par l’isolant. En détaillant les deux approches, le schéma du cuivreapparaît comme le négatif de celui de l’aluminium en terme de masque pour la lithographie.Résumée en une seule étape sur la figure 1.6, la photolithographie se décompose en fait entrois temps : une étape de dépôt de la résine, qui est ensuite insolée à travers un masquepour définir les motifs, enfin le retrait de la résine dans les zones insolées. Pour les étapessuivantes, le schéma du cuivre reprend le même enchaînement des étapes mais transposé àl’inverse par rapport à l’aluminium. Cependant, l’étape 4 de dépôt métallique est en faitune double étape pour le procédé damascène avec un premier dépôt fin et conforme d’unebarrière métallique pour bloquer la diffusion du cuivre sur le fond et les flancs des lignes (enbleu sur la figure 1.6(b)). Le deuxième dépôt correspond au remplissage des motifs par lecuivre lui-même. De plus, l’étape de polissage devient plus complexe car il faut éliminer lecuivre en surplus sur toute la surface ainsi que la barrière métallique en surplus sur le dessusde l’isolant.

Dans le schéma damascène, le cuivre est encapsulé par une seconde barrière (figure 1.6(b)).Elle couvre le dessus des lignes pour bloquer la diffusion du cuivre vers les niveaux supé-rieurs. Elle est obligatoirement isolante si elle déposée sur toute la surface de la plaque pouréviter un plan de court-circuit. Dans le cas d’une barrière déposée uniquement sur les lignes,il est possible d’envisager une barrière métallique.

La comparaison de ces deux schémas montre clairement le changement majeur imposé parle passage de la métallisation aluminium à celle du cuivre. Il apparaît aussi que l’empilementse complique en partie à cause des barrières nécessaires pour bloquer la diffusion du cuivre.

Enfin, regardant la permittivité relative de ce niveau métallique, on ne peut pas considérerl’isolant seul car la barrière, présente sur le dessus des lignes, si elle est de type diélectrique,apporte sa contribution à la permittivité relative globale du niveau. C’est pourquoi la réduc-tion de permittivité relative doit s’aborder sur l’isolant entre les lignes comme nous allonsle voir dans la section suivante et le chapitre 2. Mais il faut aussi regarder la barrière quiencapsule le dessus des lignes comme cela sera détaillé dans la section 1.3 et le chapitre 4.

13

Page 26: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

(a) Procédé d’intégration pour l’alumi-nium.

(b) Procédé damascène pour la métallisa-tion cuivre.

Figure 1.6 – Schémas simplifiés d’intégration pour la réalisation d’un niveau d’intercon-nexion selon le métal employé pour les lignes conductrices.

14

Page 27: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

1.2 Matériaux isolants interligne

1.2.1 Évolution des isolants avec la densité d’intégration

Le premier matériau employé pour isoler les interconnexions a naturellement été l’oxydede silicium amorphe. Ces principales caractéristiques sont rappelées dans le tableau 1.3. Lapermittivité relative varie de 3,9 pour un procédé thermique à 4,2 pour un procédé typePECVD. Celui-ci a été utilisé jusqu’au milieu des années 90 car les performances des inter-connexions n’étaient pas aussi critiques qu’aujourd’hui. Il reste présent pour les architecturesactuelles dans les niveaux globaux pour lesquels les dimensions sont relâchées et donc lescontraintes de retard du signal peu influentes. C’est le matériau d’isolation autour duquelune grande partie des technologies pour la réalisation des interconnexions a été dévelop-pée durant plusieurs décennies. C’est pourquoi lorsque le besoin de réduire la permittivité

Tableau 1.3 – Principales caractéristiques des matériaux isolants introduits dans les inter-connexions jusqu’à la génération 65 nm [Bar00, Ché05, Ché06, Lid03, Mor00, Rem03, Wis01].

SiO2 SiOF SiOC

Permittivité relative 3,9 - 4,2 3,5 - 3,7 2,9 - 3

Indice de réfraction ∼ 1,47 1,43 - 1,44 ∼ 1,42

Densité de courant de fuite (A.cm−2) 2,3.10−10 1.10−9 1.10−9

Champ de claquage (MV.cm−1) > 12 8 - 9 6 - 7

Module de Young (GPa) 56 - 72 40 - 50 8 - 11

Dureté (GPa) 8 - 9 5 - 8 1,4 - 1,8

Coefficient de Poisson 0,3 - 0,15

Coefficient de dilatation (ppm. C−1) 0,8 1 - 5 10 - 14

Densité (g.cm3) 2,3 2 - 2,1 1,3 - 1,5

Contrainte interne (MPa) -100 - 80 30 - 40

relative s’est fait sentir, la première étape a consisté à doper cet oxyde pour éviter des chan-gements majeurs sur les propriétés requises pour l’intégration. En effet l’oxyde étant obtenuà partir d’un procédé de dépôt chimique en phase vapeur (CVD), le simple ajout d’un gazcontenant l’élément dopant dans le mélange gazeux de départ permet son incorporation dansla stœchiométrie finale du film.

Une structure type de l’oxyde amorphe non dopé est présentée dans la figure 1.7(a). Labrique de base est le tétraèdre SiO4 qui est relié aux autres tétraèdres par un des sommetsavec une orientation aléatoire. Il y a donc un ordre à courte distance mais pas d’ordre àlongue distance donnant le caractère amorphe au film avec une stœchiométrie proche duSiO2 obtenu thermiquement. Le dopage permet de remplacer certains atomes d’oxygène pardes atomes de fluor, on parle de SiOF ou verre fluoro-silicié voire d’oxyde dopé au fluor.

15

Page 28: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

Les caractéristiques principales de ces films sont données dans le tableau 1.3. L’introductiondu fluor permet de réduire la contribution de polarisabilité d’orientation en remplaçant laliaison Si-O par une liaison Si-F (tableau 1.2, page 12). Mais il permet aussi de réduire lapolarisabilité électronique grâce à une valeur d’électronégativité plus grande que l’oxygèneainsi que la polarisabilité ionique [Yan98b]. De plus, il permet de réduire le nombre deliaisons (et donc la densité) grâce au caractère monovalent du fluor. Il joue ainsi le rôle determinaison de réseau à l’inverse de l’oxygène (figure 1.7(b)). Cette incorporation est obtenueen ajoutant un gaz fluoré (SiF4 ou C2F6 par exemple) au mélange gazeux type pour l’oxydede silicium (SiH4 + O2) ou (TEOS3 + O2). La permittivité relative peut être réduite jusqu’à3 pour des taux de fluor avoisinant les 10% [Yan98a]. Cependant le fluor n’est plus stabledans l’oxyde pour une concentration supérieure à 4% [Tre98]. Il absorbe très facilementl’humidité. Cela peut favoriser la formation d’acide fluorhydrique (HF) lors des étapes denettoyage durant l’intégration. Ceci est à éviter car le HF corrode les lignes métalliques etattaque la structure de l’oxyde SiO2. Une solution proposée a été d’encapsuler cet oxydepour bloquer la diffusion du fluor mais cela complique fortement l’empilement et dégrade lapermittivité relative globale de l’isolant interligne. C’est pourquoi les oxydes fluorés stablesutilisés en remplacement de l’oxyde de silicium ont une permittivité relative moyenne autourde 3,6. Ils ont été implantés dans la technologie 120 nm.

(a) Schéma structural de l’oxyde de siliciumamorphe

(b) Insertion d’atomes dans la structureSi-O-Si

Figure 1.7 – Structures dérivées de l’oxyde de silicium amorphe pour réduire la permittivitérelative

Pour atteindre une permittivité relative plus basse pour la génération suivante (90 nm),d’autres éléments ont été envisagés pour remplacer le fluor. À partir des données du ta-bleau 1.1 et du tableau 1.2 (page 12), les atomes d’hydrogène et de carbone semblent debons candidats lorsque l’on regarde les valeurs de polarisabilité. Pour servir comme le fluorde terminaison, l’atome de carbone ne peut être introduit que sous une forme quasi-saturéetype -CH3 pour offrir un groupe « monovalent » au silicium. À partir de calculs théoriques,il est possible de déterminer la variation de polarisabilité totale lorsque l’on introduit ces

3TEOS : tétraéthylorthosilicate.

16

Page 29: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

atomes ou groupes d’atomes dans une structure de type Si-O-Si [CD99]. Les valeurs obtenuessont résumées dans le tableau 1.4.

Tableau 1.4 – Polarisabilité de différentes liaisons autour de la structure Si-O-Si [CD99].

Polarisabilité ∆α/Si−O

Liaison calculée (Å3) (Å3)

(HO)3Si-O-Si(OH)3 8,98

(HO)3Si-H 7,64 -1,34

(HO)3Si-CH3 14,83 5,85

Le remplacement de l’atome d’oxygène dans la liaison Si-O-Si par un atome d’hydrogènepermet de réduire sensiblement la polarisabilité totale. Cette polarisabilité est dégradéelorsque l’on introduit un groupe -CH3. Il semble donc que le dopage au carbone par l’in-termédiaire de groupements méthyles ne soit pas favorable en terme de polarisabilité. C’estpourtant la solution qui a été retenue et qui permet de former un film avec une permittivitéégale à 3. Les caractéristiques principales de ces films, communément appelés SiOC ou verreorgano-silicié voire oxyde de silicium dopé au carbone, sont données dans le tableau 1.3(page 15).

Comme pour le fluor, le groupement organique remplace l’atome d’oxygène et sert determinaison au réseau (figure 1.7(b)). La réduction de permittivité relative ne s’expliquedonc pas par la polarisabilité mais la taille moléculaire du groupe engagé dans la liaison. Eneffet l’encombrement stérique est très important par rapport à un atome seul. C’est pourquoison « greffage » un peu partout sur la structure de base de l’oxyde de silicium permet deréduire la densité de liaisons présentes dans le film (tableau 1.3, page 15). Dans ce cas, destechniques de caractérisation adaptées aux films minces poreux (en l’occurrence l’éllipsomé-trie porosimétrique) ont permis de mettre en évidence une micro-porosité de l’ordre de 7 %provenant du volume libre créé par ces groupements terminaux tout au long du squelette dela silice.

C’est donc la réduction de densité qui a été le paramètre majeur dans ce dernier caspour atteindre une permittivité relative proche de 3. Ce matériau est la transition entreles générations précédentes où la polarisabilité était prépondérante et les matériaux à trèsfaible permittivité (εr< 3) où la contribution de la densité prend le pas. La figure 1.8 illustrecette tendance. La permittivité relative des isolants d’interconnexion a pu être diminuéeen réduisant la densité mais sans créer de porosité jusqu’aux matériaux SiOC. Il devientévident que réduire encore la densité passe par la formation de pores dans le matériau pouraugmenter le taux de porosité, illustré par les parties hachurées de la figure 1.8 qui sont leprolongement des tendances observées jusqu’à la génération 90 nm.

Nous allons voir maintenant quelles sont les solutions en terme de matériau et de procédésde réalisation pour atteindre une permittivité relative inférieure à 3. Ils sont communémentnommés matériaux à très faible permittivité.

17

Page 30: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

4,5 4,0 3,5 3,0 2,5 2,00,0

0,5

1,0

1,5

2,0

2,5200 160 120 80 40

0

10

20

30

40

50

Den

sité

(g/cm

3 )

Permittivité relative

Porosité (%

)

Génération technologique (nm)

Figure 1.8 – Évolution de la densité et de la porosité en fonction de la permittivité relativepour les films isolants d’interconnexion (données tirées du tableau 1.3, page 15).

1.2.2 Solutions de matériaux diélectriques à très faible permittivité

La réduction de permittivité relative en dessous de 3 passe principalement par la diminu-tion de la densité des films SiOC, en d’autres termes l’augmentation du volume libre présentdans le matériau. Cela se concrétise par l’introduction de porosité dans le film. En revenantsur le tableau 1.3 (page 15), on remarque que les propriétés mécaniques sont fortement dé-gradées par la réduction de densité, notamment pour les films SiOC à cause de l’introductionde porosité (malgré une faible valeur). Une première idée est alors de partir de la matricede silice, qui présente de très bonnes propriétés, pour introduire de la porosité et réduire lapermittivité relative de 4,2 en dessous de 3. L’effet de cette porosité sur la permittivité rela-tive peut être prédite en utilisant l’approximation du milieu effectif de Bruggeman [Orl03].Le film est considéré comme un mélange à deux composants : la structure solide formée parles liaisons du film et les pores considérés comme du vide (permittivité relative égale à 1).On obtient l’équation suivante :

fsεs − εeff

εs + 2εeff

+ (1− fs)1− εeff

1 + 2εeff

= 0 (1.12)

où fs est la fraction de la structure, εs est la permittivité relative de la structure de départ(du squelette), enfin εeff est la permittivité relative effective du matériau. Il est alors possiblede comparer l’effet de différentes structures sur la permittivité lorsque l’on introduit de laporosité. Un exemple est donné avec la figure 1.9 où l’on compare une structure à base de silicepure avec une structure basée sur un matériau type SiOC. Pour atteindre une permittivitérelative égale à 2,5, il est nécessaire d’introduire 20% de porosité pour un squelette de

18

Page 31: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

0 20 40 60 80 1001,0

1,5

2,0

2,5

3,0

3,5

4,0

4,5

Matrice SiO2 ( r = 4,2) Matrice SiOC ( r = 3,0)

Perm

ittiv

ité re

lativ

e

Porosité (%)

Figure 1.9 – Permittivité relative en fonction du taux de porosité pour un squelette de siliceet de SiOC (modèle basé sur l’approximation de Bruggeman).

SiOC alors qu’il faut plus du double (∼ 43%) pour un squelette de silice. Il est évidentque même avec un squelette plus rigide, ce taux élevé de porosité risque d’entraîner denombreuses complications lors de l’intégration d’une silice poreuse. Il y a donc un avantagenon négligeable de partir d’une structure à faible permittivité relative pour minimiser le tauxde porosité nécessaire pour atteindre une très faible permittivité.

Il existe une grande variété de structures qui peut servir de base pour former un filmdiélectrique à très faible permittivité. Il est possible de les classer en quatres catégories :les polymères organiques dont l’atome de silicium n’est pas le constituant de base de lastructure, les films à base de silice poreuse (dont nous venons de voir qu’ils risquaient deprésenter une faiblesse majeure), l’approche par cavité d’air et enfin les matériaux dérivésdu film SiOC de la génération 90 nm avec un squelette type Si-O-Si.

- Polymères organiques -

Une grande variété de polymères a été étudiée pour les isolants d’interconnexion (listenon exhaustive dans le tableau 1.5). Nous traitons quelques exemples permettant d’atteindreune très faible permittivité pour illustrer les caractéristiques générales de ce type de film.Dans la majorité des cas, ils présentent l’avantage de pouvoir atteindre une permittivitérelative inférieure à 3 sans former de porosité à proprement parler grâce à l’enchevêtrementdes longues chaînes carbonées qui induit un volume libre important.

Un des premiers matériaux a avoir été étudié pour cette application est le poly(tétrafluoro-éthylene) (PTFE), plus connu sous le nom commercial Teflon. Il est composé d’une chaîned’atomes de carbone liés entre eux par une simple liaison et saturés par des atomes de fluor.Il présente la permittivité relative la plus faible pour un polymère non poreux, pouvant des-cendre jusqu’à 1,9. L’un des principaux désavantages de ce matériau provient de sa chaîneflexible (simple liaison entre atomes de carbone) et faiblement réticulée qui limite sa stabilité

19

Page 32: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

Tableau 1.5 – Exemples de polymères organiques étudiés comme isolants d’interconnexion[Bea05, Lee05, Mae03, Mai01, Mor00, Sch05b, Vas05].

Polyimides Poly(aryl éther)s Poly(quinoline)s

Poly(quinoxaline)s Poly(oxadiazole)s Poly(norbornène)

Cycloolefine Polyindan Poly(tétrafluoroéthylène)

Poly(perfluorocyclobutène) Poly(benzocyclobutène) Poly(trialine) fluoré

Poly(para-xylidène)s Poly(benzoxaole)s Poly(naphtalène)s

Parylènes Méthyl-silsesquiazane Poly(méthyl-méthacrylate)

Poly(méthacrylate) fluoré Polycyanures . . .

thermique. Celle valeur est en dessous de la température des procédés standard d’intégrationpour les interconnexions. De plus, il présente des propriétés mécaniques très faibles et uncoefficient de dilatation thermique supérieur à 100 ppm.C−1. En comparant cette valeurà celle du cuivre (∼ 16 ppm.C−1), il apparaît qu’une différence si importante risque deposer des problèmes de contraintes aux interfaces entre les lignes et l’isolant lors des étapesd’intégration ou lors du fonctionnement du circuit. Enfin la présence de fluor dans le filmreste un point critique à cause du risque de formation d’acide fluorhydrique, corrosif pourles lignes de cuivre.

Dans la catégorie des poly(aryl éther)s, une première version fluorée, commercialisée sousle nom FLARE, a permis d’atteindre une constante diélectrique avoisinant 2,4. Elle possédaitl’avantage par rapport au Teflon d’avoir une meilleur stabilité thermique et mécanique grâceaux cycles aryls. Cependant, toujours à cause du caractère corrosif du fluor, une version nonfluorée a été développée. La permittivité relative remonte autour de 2,8 avec des propriétésmécaniques améliorées mais qui deviennent faibles en regard de la hausse de permittivitérelative (module de Young = 2 GPa) et un coefficient de dilatation thermique toujours élevéentre 50 et 60 ppm.C−1.

Le problème principal de ces films polymères est leur faible température de transitionvitreuse, qui est due à la faible réticulation des chaînes présentes. C’est pourquoi de nombreuxefforts ont été menés pour développer des polymères qui donnaient une structure réseauréticulée dans les trois dimensions. Le premier exemple est le benzocyclobutène (BCB).Sous forme de résine, il permet d’atteindre une permittivité relative de 2,6 grâce aux cyclesaromatiques et aux cycles aliphatics non polarisables. La stabilité thermique reste faible avecdes propriétés mécaniques équivalentes au poly(aryl ether) non fluoré. Le deuxième exemple,qui a reçu le plus d’attention comme matériau d’isolation, porte le nom commercial SiLK(développé par DOW Chemicals). Il permet de former une structure contenant un grandnombre de groupes aromatiques. La permittivité peut être descendue jusqu’à 2,6 avec despropriétés mécaniques meilleures que les autres polymères (module de Young entre 2 et 3GPa). Il est possible de descendre la permittivité jusqu’à 2,2 en introduisant de la porositémais les propriétés mécaniques se dégradent. Ce matériau a largement été étudié, notammentpar IBM, mais n’a pas été retenu à cause d’un coefficient de dilatation thermique trop élevé,

20

Page 33: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

supérieur à 60 ppm.C−1.Ainsi, aucun polymère ne remplit l’ensemble des critères nécessaires pour fournir un ma-

tériau isolant pour les interconnexions telles qu’elles ont été développées jusqu’à aujourd’hui.Le principal désavantage pour cette catégorie de films provient de la trop grande différencede structure entre ces polymères et l’oxyde de silicium. Cela nécessite d’adapter l’ensembledes étapes d’intégration alors que des matériaux avec une structure plus proche de la siliceont émergé avec des permittivités relatives inférieures à 3.

- Silice poreuse -

Cette approche consiste à introduire de la porosité dans la structure de l’oxyde de siliciumamorphe pour réduire la permittivité relative. On distingue dans cette catégorie deux typesde matériaux selon le procédé de réalisation du film.

(a) Schéma de formation des filmsaérogel et xérogel.

(b) Morphologie de surface d’un aerogel SiO2

observé au MEB, tiré de [Jun03].

Figure 1.10 – Exemple de silice poreuse obtenue par le procédé aérogel/xérogel.

Les premiers sont basés sur les procédés de dépôt type aérogel/xérogel. Le procédé esten deux étapes : la préparation du gel puis l’extraction de la partie liquide (figure 1.10(a)).La première étape consiste à mélanger les constituants dans un milieu liquide puis à faireréagir ces constituants pour former la phase solide. On obtient ainsi un milieu biphasé,nommé gel, où le liquide occupe la majeure partie du volume. La deuxième étape permetd’extraire ce liquide sans détériorer la partie solide. Dans le cas des xérogels, on utilise leséchage super-critique. Les conditions de température et de pression sont telles que le liquideacquiert les propriétés des fluides super-critiques, notamment une interaction avec le solidequasi nulle qui élimine toute force capillaire qui pourrait dégrader la structure solide lors duretrait du liquide. Une fois le liquide dans cet état, il est directement transformé en vapeurpour être évacué. On obtient la structure solide poreuse telle qu’elle était dans le gel dedépart [Pha03]. Cette technique permet d’atteindre des taux de porosité très élevés et doncune permittivité relative à partir de l’oxyde de silicium très basse, autour de 2 [Liu02]. Ce

21

Page 34: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

matériau possède également une très bonne stabilité thermique puisque basé sur le réseaude la silice avec de bonnes propriétés mécaniques [Sik01, Gor01]. Il est aussi parfaitementcompatible avec les procédés d’intégration. Malheureusement, cette méthode engendre destailles de pore très élevées (figure 1.10(b)) [Jun03]. Ces dimensions ne permettent pas dansun schéma d’intégration damascène de déposer des barrières fines et conformes sur les flancsdu film pour bloquer la diffusion du cuivre.

(a) Étapes de formation d’un silicateauto-organisé.

(b) Coupe d’un film de silicate auto-assembléobservé au Microscope Électronique à Transmis-sion (MET), tiré de [Jun05].

Figure 1.11 – Exemple de silice poreuse obtenue par un procédé sol-gel auto-assemblé.

Le deuxième procédé permet de pallier à ce problème. C’est une technique sol-gel auto-assemblée qui permet d’obtenir une structure de type silice avec une taille de pore relative-ment faible (diamètre inférieur à 3 nm) [Hat05, Oku03, Sug05]. La figure 1.11(a) présente undescriptif schématique des étapes nécessaires pour former un tel matériau. Grâce à cette tech-nique, il est aussi possible d’obtenir une organisation hexagonale des pores (figure 1.11(b))[Jun05]. À partir de calculs par élément fini, il a été démontré que les propriétés mécaniquesétaient améliorées lorsque les pores sont répartis d’une façon bien définie par rapport à unestructure désordonnée [Miy03]. C’est l’un des avantages de cette approche qui permet d’avoirdes propriétés mécaniques élevées (module de Young supérieur à 7 GPa) pour une permit-tivité relative entre 2,3 et 2,5. Cependant, les étapes de formation de ces films font appel àdes recuits thermiques qui peuvent être assez longs. Ceci est incompatible avec les budgetsthermiques requis pour ne pas modifier les performances des transistors sous-jacents.

- Alternative architecturale : l’isolation par cavités d’air -

Ce procédé d’isolation est communément nommé « air-gap ». Il s’appuie sur le constatsimple que le meilleur diélectrique en terme de permittivité relative est le vide (εr=1). Ilfaut donc remplacer les isolants interlignes par des cavités d’air ou des restes de gaz dus auxprocédés de réalisation, car la plupart des gaz possèdent une permittivité relative supérieure

22

Page 35: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

d’à peine quelques millièmes à celle du vide [Car00, Lid03]. Cette approche doit donc per-mettre de réduire le produit RC de façon significative, mais aussi les phénomènes parasitestels que la diaphonie [Arn03a].

Le processus de formation de ces cavités doit s’insérer dans le schéma d’intégration clas-sique damascène des lignes de cuivre. La première approche développée (figure 1.12(a)) estissue d’un problème observé pour la métallisation aluminium. En effet, l’espace entre leslignes étroites n’était pas rempli correctement par le diélectrique à cause des procédés dedépôt non conformes. Ce phénomène a été employé pour former des cavités cette fois-cicontrôlées entre les lignes.

(a) Dépôt d’oxyde non conforme pour former descavités d’air [Arn00].

(b) Air-gap formé à partir d’un isolantsacrificiel [Gos05].

Figure 1.12 – Schémas possibles d’intégration de l’isolation par cavité avec le procédé cuivredamascène.

Le processus consiste à déposer le matériau diélectrique pour permettre de réaliser leslignes de cuivre avec le procédé standard damascène. Cet isolant est ensuite gravé pourformer les cavités entre les lignes. Enfin, un dépôt est réalisé au dessus de la cavité de façonnon conforme pour conserver l’espace précédemment formé. Ce procédé implique certaineslimitations qui ont conduit au développement d’une deuxième approche (figure 1.12(b)).Dans ce cas, l’intégration des lignes de cuivre est réalisée avec un isolant sacrificiel qui seraensuite retiré pour former la cavité. Cette approche est moins mature que la précédente maiselle présente moins de limitations pour être introduite dans une architecture damascène pourles prochaines générations [Gos05].

23

Page 36: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

L’isolation par cavité permet d’obtenir une permittivité relative inférieure à 2. Cependant,le manque de maturité des procédés mis en jeu dans le schéma d’intégration standard nepermet pas d’envisager leur utilisation pour la génération 45 nm. Son intérêt réside pourtantcomme prolongement des matériaux poreux lorsque la permittivité relative requise pour lesisolants sera inférieure à 2.

- Dérivés des films SiOC -

Les premiers matériaux étudiés, volontairement placés dans cette catégorie, sont à mi-chemin entre les polymères organiques et les films SiOC. Ils ont pour élément structuralde base le silsesquioxane répété un certain nombre de fois comme pour un polymère. Laformule empirique de base est (R-SiO3/2). Ils ont donc une structure proche de la silicemais avec un arrangement sous forme de cage contenant 8 atomes de silicium placés auxsommets du cube et 12 atomes d’oxygène au milieu des arêtes (figure 1.13). Le substituantR peut être de diverse nature : hydrogène, alkyl, alkenyl, alkoxy, aryl, etc. Il existe ainsideux formes principales qui ont été étudiées comme isolant d’interconnexion et qui sont :les poly(hydridosilsesquioxane)s ou HSQ et les poly(méthylsilsesquioxane)s ou MSQ. Ils cor-respondent respectivement à l’hydrogène et au groupement méthyle comme substituant,permettant de réduire la polarisabilité de la liaison Si-O ou la densité. Il est ainsi possibled’atteindre une permittivité relative de l’ordre de 2,7 avec des films mélangeant les structuresHSQ et MSQ sans introduire de porosité [Mai01]. Ces films présentent donc les avantagesd’une structure type silice pour la tenue mécanique et la stabilité thermique et l’avantagedes polymères avec une permittivité relative assez faible [Mor00]. Malheureusement pour di-minuer encore cette valeur, l’introduction de porosité est nécessaire mais cela n’est possiblequ’avec une taille de pore importante (rayon supérieur à 3 nm) qui augmente avec le tauxde porosité [Bak01a]. Comme pour la silice poreuse, ce diamètre trop important compliquel’intégration avec le procédé damascène.

Figure 1.13 – Représentation de la structure élémentaire silsesquioxane pour un film di-électrique.

Enfin, la dernière classe de matériau, qui a été retenue pour être évaluée dans les schémasd’intégration pour la génération 45 nm, dérive directement des films SiOC denses développés

24

Page 37: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

pour la génération 90 nm et étendus à la génération 65 nm à cause du manque de maturitédes procédés de dépôt des films poreux. Divers procédés ont été développés pour augmenterle taux de porosité dans ces films SiOC. Ils présentent l’avantage majeur d’être le prolon-gement chimique et structural de cette famille déjà utilisée, ce qui facilite grandement leurintégration. Ces matériaux sont souvent appelés comme les films SiOC puisque le squelettechimique est identique. Pour les différencier, les termes SiOCH ou SiOC poreux seront em-ployés. Nous allons voir quels sont les procédés de dépôt et les stratégies possibles pourformer ces matériaux.

1.2.3 Procédés de réalisation de matériaux diélectriques poreux enfilms minces

- Procédés de réalisation -

Quelque soit le matériau envisagé, deux techniques ont émergé pour déposer des filmsminces diélectriques.

La première technique est le dépôt par centrifugation (ou spin coating en anglais). Em-pruntée aux polymères, cette méthode se décompose en trois étapes. Tout d’abord, les consti-tuants du film sont mélangés avec un solvant. Ensuite, cette solution est déposée au centredu substrat de silicium. La mise en rotation de celui-ci engendre des forces de centrifugationqui assurent une distribution uniforme de la solution à la surface. L’épaisseur du film déposéest déterminée par le couple vitesse de rotation de la plaque (qui fixe la valeur de la forcede centrifugation) et viscosité de la solution. La dernière étape est un recuit qui est divisélui-même en deux parties : un premier recuit à faible température (en général inférieure à250C) pour retirer le solvant, puis un second recuit pour stabiliser le film. Ce dernier trai-tement (température supérieure à 350C) permet la réticulation de la matrice pour obtenirla structure finale, mécaniquement stable. Dans le cas des films SiOCH, il existe un grandnombre de réactions d’hydrolyse et de condensation possibles conduisant à la formation dufilm. L’étape d’hydrolyse correspond à la transition des liaisons Si-OR ou Si-R en liaisonssilanol Si-OH par la présence d’humidité. Il suffit ensuite d’au moins deux groupes ainsiformés pour condenser et donner la structure Si-O-Si, avec le dégagement d’une moléculed’eau [Mae03]. Ce procédé permet de déposer n’importe quel mélange, du moment que cesconstituants sont miscibles dans un même solvant. L’intérêt de cette technique provientaussi de l’uniformité du film déposé. L’inconvénient majeur est la faible réticulation du filmqui donne une structure poreuse avec une stabilité thermique et des propriétés mécaniquesrelativement faibles.

La deuxième méthode est celle déjà employée pour les films SiOC : le dépôt chimique enphase vapeur assisté par plasma (PECVD). Par extension pour les prochaines générations enterme d’équipement industriel, c’est la technique la plus largement étudiée comme solutionpour réaliser des films SiOC poreux. Le détail de cette approche sera donné au chapitre 2,nous n’exposons ici que les différences majeures avec le dépôt par centrifugation. A l’inversede cette dernière, l’uniformité des films est plus difficile à maîtriser avec la technique PECVD.De plus, il est difficile d’atteindre des taux de porosité élevés pour une matrice donnée.En revanche, les films SiOC poreux déposés par PECVD présentent une meilleure stabilitéthermique et de meilleures propriétés mécaniques qu’avec le dépôt par centrifugation. Eneffet, grâce à une température de traitement entre 300 et 400C et l’énergie apportée par le

25

Page 38: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

plasma, la réticulation de la matrice est plus importante.En Europe, le dépôt par PECVD est la solution industrielle retenue pour les films SiOC

des générations 90 nm et 65 nm. Par extension, c’est cette technique qui est la plus large-ment étudiée comme solution potentielle pour fournir un matériau SiOC poreux pour lesgénérations suivantes. Nous allons voir maintenant quelles sont les possibilités d’un point devue matériau pour former la porosité en utilisant cette technique.

- Approches physiques pour former le film poreux par PECVD -

La formation de films SiOC poreux en utilisant la technique de dépôt PECVD s’effectueen deux étapes, quelque soit la méthode envisagée. La première étape correspond au dépôtdu film sous une forme instable (ou faiblement stabilisée) qui ne présente pas de porosité. Ladeuxième étape sert donc à former les pores dans le film, réticuler la matrice pour donnerles propriétés finales du film.

La première approche est dite « hybride » ou biphasée [Gri00], on parle communément del’approche « porogène ». Elle a été et reste largement étudiée car elle permet d’atteindre unepermittivité relative inférieure à 2,4 [Fav04, Gri02]. Elle consiste à introduire lors de l’étapede dépôt des agrégats sacrificiels dans la matrice qui sont ensuite retirés pour former laporosité (figure 1.14). Cette approche a été étendue récemment à d’autres types de matrice,comme les polymères [Kur04] ou la silice poreuse [Sch04].

Figure 1.14 – Schéma simplifié du dépôt d’un film SiOC poreux par l’approche « porogène ».

Lors de la première étape, le mélange entre le précurseur de la matrice et les composantssacrificiels est réalisé à l’état gazeux pour être ensuite envoyé dans la chambre au cœur duplasma. Le film ainsi déposé est un milieu biphasé, composé d’une matrice dans laquellesont noyés les agrégats sacrificiels (figure 1.14). La deuxième étape consiste à traiter ther-miquement ce dépôt pour retirer la partie sacrificielle. Lors de cette deuxième étape, onréalise aussi la réticulation de la matrice. Ces deux phénomènes combinés conduisent à uneréduction de l’épaisseur du film par rapport à la phase de dépôt qui oscille entre 10 et 20%selon les procédés. Cette technique donne accés à un nombre très important de combinaisonsentre le précurseur de la matrice et l’agent sacrificiel pour trouver le bon compromis entreporosité et tenue mécanique du squelette. De nombreux autres paramètres sont à prendre encompte pour réaliser ce choix comme la toxicité éventuelle des espèces gazeuses envisagées,le taux de retrait de l’agent sacrificiel, etc. Quelques précurseurs envisagés comme matriceet « porogène » sont présentés dans le tableau 1.6. Dans tous les cas, on recherche la pré-sence dans le précurseur de la matrice d’atome(s) de silicium et d’oxygène pour former une

26

Page 39: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

quantité importante de liaisons Si-O-Si constituant le squelette (dans le cas de moléculessans oxygène comme le tétraméthylsilane (4MS) par exemple, l’oxygène est apporté sousforme gazeuse dans le plasma). Les groupements méthyles ou cycliques sont aussi recherchéspour permettre de réduire la densité du squelette dans le but de diminuer la permittivitérelative. Pour le porogène, la taille de la molécule détermine la taille finale des pores. Il estchoisi aussi en fonction de sa température de décomposition, qui fixe la température de laseconde étape de retrait. La molécule pour la matrice type DEOMS ou approchant est laplus communément proposée.

Tableau 1.6 – Exemples de précurseurs employés pour l’approche biphasée, avec leur struc-ture chimique tridimensionnelle.

Précurseurs pour la matrice :

Héxaméthyldisiloxane Tétraméthylcyclotétrasiloxane Diméthylphénylsilane(HMDSO) (TMCTSO) (DMPS)

Triméthylsilane Tétraméthylsilane Diéthoxyméthilsilane(3MS) (4MS) (DEOMS)

Précurseurs pour le porogène :

α-terpinène Norborène Cyclohexène Oxyde(α-TRP) (NBD) (CHO)

27

Page 40: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

La deuxième méthode pour former un film diélectrique poreux est appelée approche « nonporogène », il est plus exact de parler de l’approche par restructuration de la matrice. Elle aété proposée pour la première fois par Buchanan et al. en 2001 [Buc01]. Comme la précédenteapproche, la première étape permet de déposer un film amorphe non poreux de type SiOCHfaiblement réticulé mais monophasé (figure 1.15). La deuxième étape sert à restructurerla matrice pour permettre de former la porosité et augmenter la réticulation grâce à untraitement thermique assisté par plasma. Avec cette technique, l’épaisseur du film augmented’environ 20% entre la phase de dépôt et le film final.

Figure 1.15 – Schéma de formation d’un film SiOC poreux par l’approche de restructura-tion.

Elle est la première à avoir été proposée avec un équipement industriel, dès 2003. C’estdonc cette deuxième approche qui a été évaluée dans le cadre de ce travail. Nous allons voirquels sont les processus physiques et chimiques à l’origine de la formation de ces films etleurs caractéristiques en tant que matériau par rapport à l’approche dite « porogène » (cha-pitre 2). Nous verrons aussi les résultats de l’introduction d’un tel matériau dans un schémad’intégration standard en terme de performances électriques par rapport à l’approche « po-rogène » (chapitre 2).Quelque soit l’approche envisagée, l’introduction de la porosité permetde réduire la permittivité relative mais au détriment des propriétés mécaniques (figure 1.16).Ces propriétés deviennent critiques pour l’intégration et nous verrons comment il est possiblede les améliorer pour permettre leur intégration (chapitre 3).

28

Page 41: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.2. MATÉRIAUX ISOLANTS INTERLIGNE

4,5 4,0 3,5 3,0 2,5 2,00

10

20

30

40

50

Permittivité relative

Por

osité

(%)

0

10

20

30

40

50

60

70

Module de Y

oung (GP

a)

Figure 1.16 – Évolution de la porosité et du module de Young (propriété mécanique dufilm) avec la permittivité relative.

29

Page 42: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

1.3 Barrières diélectriques

1.3.1 Rôle des couches barrières dans l’intégration d’interconnexionscuivre multi-niveaux

L’architecture d’intégration du cuivre pour les interconnexions requiert l’utilisation duprocédé damascène (voir la figure 1.6(b), page 14). Comme il a été brièvement exposé, lecuivre possède un coefficient de diffusion très élevé dans le silicium, couplé à un état électriqueprofond qui modifie les caractéristiques du transistor. Sa diffusion au sein du circuit doitdonc être bloquée. Pour cela, chaque ligne ou via des différents niveaux d’interconnexion estentièrement encapsulé par une barrière.

Deux types de barrière sont identifiables (figure 1.17). La première qui sert à bloquerla diffusion du cuivre sur les flancs des vias et lignes, ainsi que sur le fond des lignes, doitêtre de type métallique et la plus fine possible. En effet, pour les générations sub-100 nm,les dimensions des premiers niveaux d’interconnexion sont suffisamment faibles pour que labarrière joue un rôle non négligeable sur la section globale de conduction de la ligne [Moo03].De plus, cette barrière doit être déposée de manière conforme dans les différents motifs : unetrop faible épaisseur localement peut créer un chemin de diffusion du cuivre, et une trop forteépaisseur peut dégrader la résistance de la ligne et donc les performances électriques [Kaw00].Elle doit aussi offrir une bonne adhésion entre le cuivre d’un côté et le matériau isolantde l’autre pour garantir la fiabilité du dispositif. C’est pourquoi actuellement la barrièreemployée est une bicouche TaN/Ta pour satisfaire l’ensemble de ces critères. La couche TaNassure l’adhesion avec l’isolant et la couche Ta sert de promoteur au dépôt du cuivre.

Figure 1.17 – Coupe schématique illustrant les deux types de barrière à la diffusion ducuivre nécessaires dans un procédé damascène.

La deuxième barrière correspond à l’encapsulation de la partie supérieure des lignes(figure 1.17). Tout comme la barrière métallique, il est évident qu’elle doit offrir une bonneefficacité en tant que barrière à la diffusion du cuivre, pour éviter des courts-circuits inter etintra-niveaux [Pra02]. Toujours d’un point de vue matériau, une bonne adhésion avec la lignede cuivre sous-jacente est primordiale pour limiter les problèmes d’électromigration [Hus05].En effet, ce phénomène est lié à l’énergie nécessaire pour arracher un atome de cuivre duréseau et le faire diffuser. Cette énergie dépend de l’environnement et on comprend aisément

30

Page 43: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.3. BARRIÈRES DIÉLECTRIQUES

qu’un atome à l’interface avec la barrière diélectrique est plus sensible au phénomène d’élec-tromigration qu’un atome au cœur de la ligne. Ceci s’exprime en terme d’énergie d’activationpour faire diffuser un atome de cuivre selon le chemin de diffusion. Les mesures montrentque cette énergie est de l’ordre de 2 eV pour une diffusion dans du cuivre massif et d’environ1 eV pour une diffusion à l’interface [Phi86, Arn03b, Gui05]. De plus l’adhésion doit êtrebonne avec l’isolant interligne pour éviter de favoriser des chemins de conduction à cetteinterface qui induisent des courants de fuite élevés et donc dégradent les performances dudispositif.

Enfin, une propriété importante est l’efficacité comme arrêt de gravure qui est liée auschéma d’intégration. Comme le montre très simplement le schéma 1.18, lorsque l’on grave unniveau via, il est important d’avoir une couche qui permette d’arrêter la gravure de l’isolantsi le temps de gravure varie légèrement pour limiter la contamination de l’isolant. En effet,sans elle, on viendrait attaquer le cuivre de la ligne sous-jacente et contaminer les flancsde l’isolant qui ne sont pas protégés. Ceci est d’autant plus important si l’on utilise uneintégration plus agressive appelée double damascène, dans laquelle les gravures du niveauligne et du niveau via sont réalisées en même temps.

Figure 1.18 – Schéma de la barrière diélectrique lors de la gravure du niveau via.

1.3.2 Évolution des matériaux barrières avec la technologie

L’aluminium étant « auto-passivant », il ne nécessitait pas de barrière à la diffusion. C’estpourquoi les barrières diélectriques n’ont fait leur apparition qu’avec la technologie cuivre. Lapremière génération a donc utilisé une barrière communément appelée SiN en combinaisonavec l’oxyde de silicium comme isolant. La stœchiométrie est en fait Si3N4. Le tableau 1.7présente les caractéristiques générales de cette famille de barrière.

En introduisant les matériaux SiOF et SiOC, présentant des permittivités relatives in-férieures, une autre famille de films diélectriques a été introduite sous l’appellation SiCN.Comme pour le SiN, quelques propriétés sont résumées dans le tableau 1.7. Ces films onpermis de réduire la permittivité relative de 7 environ pour le SiN à 5 pour le SiCN. Commepour les isolants, la réduction de permittivité passe par les mêmes leviers physiques : la pola-risabilité des liaisons et leur densité. C’est pourquoi le carbone a été introduit dans les filmsSiN pour permettre de réduire la polarisabilité ainsi que la densité de liaison (tableau 1.7).Dans les deux cas, ces films sont déposés par PECVD à partir de précurseurs simples commele silane (SiH4), l’amoniac (NH3), le triméthylsilane (3MS), etc. Ces procédés sont assistés

31

Page 44: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

Tableau 1.7 – Matériaux employés comme couche barrière dans l’architecture damascènecuivre [Ché05, Ché06].

SiN SiCN

Composition (Si/C/N/H) 38 / - / 48 / 14 33 / 24 / 13 / 30

Permittivité relative ∼ 7 ∼ 5

Indice de réfraction 2 - 2,05 1,85 - 1,95

Densité (g.cm−3) 2,5 - 2,7 1,7 - 1,9

Contrainte interne (MPa) 150 -250

Coefficient de Poisson 0,25 0,2

Coefficient de dilatation (ppm. C−1) 2,2 4,5

Module de Young (GPa) ∼ 160 ∼ 75

Dureté (GPa) ∼ 20 ∼ 10

par plasma pour augmenter la densité et avoir de bonnes propriétés en tant que barrière.Cela permet aussi de diminuer la température de dépôt et d’augmenter la vitesse de dépôt,ce qui est intéressant d’un point de vue industriel.

Avec l’introduction des films SiOC poreux, il est également nécessaire de réduire la per-mittivité relative des barrières pour ne pas dégrader les performances électriques globales ducircuit. Il existe plusieurs solutions pour cela : soit d’un point de vue matériau, soit sur lastructure de la barrière.

En ce qui concerne le matériau, et pour réduire la permittivité relative, il faut augmen-ter le taux de carbone présent dans le film et diminuer la proportion de liaisons Si-N quidégradent la polarisabilité. Cependant, ces films de type SiC présentent une stabilité faible,ils sont très sensibles à la reprise d’humidité qui dégrade très fortement leurs propriétésnotamment électriques. De plus, il existe une limitation pour l’intégration car il est difficilede graver une couche de carbure de silicium pur. Il faut alors des plasmas de gravure trèsagressifs qui peuvent dégrader de manière importante l’isolant des lignes. C’est pourquoi ilfaut limiter l’introduction de carbone en jouant sur la densité de la barrière. Mais dans cecas il est important de conserver les propriétés de barrière à la diffusion du cuivre.

La deuxième approche consiste à combiner une couche fine très dense avec une coucheépaisse peu dense. La couche dense joue le rôle de barrière à la diffusion du cuivre alors que lacouche peu dense et épaisse sert à la fois d’arrêt de gravure et contrebalance la permittivitérelative de la couche fine pour obtenir un matériau final avec une permittivité relative plusfaible qu’une simple couche SiCN.

La problématique pour ces barrières avancées apparaît donc clairement. Il est nécessairede réduire la permittivité relative mais sans dégrader les propriétés de barrière quelquesoit l’approche envisagée. Le chapitre 4 présente l’évaluation des deux approches en termede propriétés du matériau et les techniques qui ont été développées pour caractériser les

32

Page 45: Etude de matériaux diélectriques à très faible permittivité déposés ...

1.3. BARRIÈRES DIÉLECTRIQUES

propriétés de barrière.

1.3.3 Alternative architecturale

L’introduction d’une couche barrière diélectrique dans un niveau d’interconnexions risqued’avoir un effet sur les performances électriques du circuit en terme de capacité. Une solutionqui a été proposée est de remplacer cette couche continue par une barrière dite « auto-positionnée ». Dans ce cas, on dépose sélectivement une barrière métallique sur les lignes decuivre (figure 1.19).

Figure 1.19 – Schémas en coupe comparant la barrière diélectrique à celle auto-positionnéepour l’encapsulation des lignes de cuivre.

L’emploi de cette barrière permet de gagner en terme de permittivité relative par rapportà une barrière diélectrique standard. De plus, le dépôt sélectif permet d’envisager l’emploid’un matériau métallique puisqu’évitant le plan de court-circuit inhérent au dépôt d’unecouche sur toute la surface du circuit. Ainsi, l’aspect métallique permet de limiter l’impactsur la résistivité de la ligne et offre également une bonne adhésion avec le cuivre par rapportà une barrière diélectrique. L’interface n’est plus de type métallique-diélectrique mais detype métallique-métallique. Cela doit permettre d’améliorer l’électromigration.

Pour obtenir ce dépôt sélectif, on peut soit utiliser un dépôt auto-catalytique soit un trai-tement de surface. Dans le premier cas, la surface présentant le dessus des lignes de cuivreest mis au contact d’un bain contenant les espèces nécessaires à la croissance sélective surle cuivre d’une couche barrière. Ce film est le plus souvent un alliage ternaire à base cobaltou nickel (type CoWP, NiMoP, etc). Ces barrières permettent d’augmenter la résistance àl’électromigration d’un facteur 100 sans dégrader les performance des lignes de cuivre [Hu03].Cependant, ils offrent des propriétés de barrière à la diffusion du cuivre assez faibles compa-rativement aux barrières diélectriques de type SiCN. L’autre approche consiste à siliciurerla surface des lignes de cuivre, puis stabiliser ce traitement à l’aide d’un plasma azoté pourformé une couche CuSiN. Ce procédé permet d’améliorer l’électromigration tout en offrantdes propriétés barrières intéressantes [Chh05, Gos03].

Cette approche est donc une solution très intéressante, notamment pour des applica-tions comme les imageurs où la présence de la barrière continue diélectrique était un freinà l’efficacité du dispositif. Dans le cas des circuits CMOS plus classiques, ces barrières pré-sentent cependant deux inconvénients majeurs dans le schéma d’intégration damascène. Toutd’abord, du fait d’une épaisseur de dépôt assez faible, elles n’offrent pas la fonction d’arrêtde gravure ce qui rend plus délicat l’étape de gravure du via dans l’isolant si l’on vient graverla ligne de cuivre sous-jacente (risque de pulvérisation du cuivre sur les flancs du via). Ledeuxième point provient des éventuels désalignements lors de la lithographie par rapport aux

33

Page 46: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 1. LES FILMS DIÉLECTRIQUES DANS LES INTERCONNEXIONS

Figure 1.20 – Problème rencontré avec l’approche barrière auto-positionnée seule dansl’architecture damascène cuivre lors d’un désalignement du via.

niveaux inférieurs. Dans ce cas, l’absence de barrière si le via est désaligné ne permet pas debloquer la gravure dans le niveau en dessous (figure 1.20). Cela entraîne de nombreux pro-blèmes durant le remplissage de ce via mais aussi après intégration au niveau performancesélectriques et fiabilité.

Il est donc nécessaire dans ces cas d’envisager en plus une barrière diélectrique. La com-binaison des deux permet alors un gain en électromigration par rapport à la barrière diélec-trique seule, et un gain sur la fenêtre d’alignement pour la lithographie par rapport à labarrière auto-positionnée seule. Pour les générations avancées, la barrière diélectrique, mêmecouplée à celle auto-positionnée, doit donc offrir une faible permittivité relative pour limiterl’impact sur les performances du circuit.

34

Page 47: Etude de matériaux diélectriques à très faible permittivité déposés ...

Chapitre 2

Étude d’un matériau diélectrique poreuxà très faible permittivité obtenu parl’approche non porogène

Sommaire2.1 Dépôt chimique en phase vapeur assisté par plasma . . . . . . . . . . . . . 36

2.1.1 Principe du dépôt chimique en phase vapeur (CVD) . . . . . . . . . . . . . . 36

2.1.2 Le plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

Propriétés du plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

Réactions et formation du film . . . . . . . . . . . . . . . . . . . . . . . . . . 40

2.1.3 Procédé Orion de dépôt par PECVD . . . . . . . . . . . . . . . . . . . . . . . 41

2.2 Étude du phénomène de restructuration . . . . . . . . . . . . . . . . . . . . 45

2.2.1 Caractérisation du film après l’étape de dépôt . . . . . . . . . . . . . . . . . . 45

2.2.2 Caractérisation du film après l’étape de recuit assisté par plasma . . . . . . . 50

2.2.3 Processus de restructuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

2.3 Caractéristiques du film Orion . . . . . . . . . . . . . . . . . . . . . . . . . 60

2.3.1 Relation structure-épaisseur . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

2.3.2 Propriétés du film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

2.4 Étude de l’intégration de l’isolant d’interconnexion . . . . . . . . . . . . . 69

2.4.1 Schéma d’intégration en technologie 65 nm . . . . . . . . . . . . . . . . . . . 69

2.4.2 Intégration d’un matériau à très faible permittivité . . . . . . . . . . . . . . . 72

2.4.3 Solution pour réduire l’oxyde résiduel du masque dur . . . . . . . . . . . . . . 76

2.5 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

35

Page 48: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

Comme il a été brièvement présenté dans le paragraphe 1.2.3, nous allons étudier endétail le procédé de dépôt par PECVD d’un film SiOC poreux par l’approche non porogèneou de restructuration de matrice. Le travail a été réalisé sur un équipement Trikon avec leprocédé Orion. Nous allons voir en premier le détail du procédé de réalisation, puis l’étudesur la compréhension des phénomènes physiques à l’origine de la formation du film, ensuiteles caractéristiques obtenues en comparaison avec les matériaux de l’approche porogène, etenfin l’évaluation de ce matériau dans le schéma d’intégration standard.

2.1 Dépôt chimique en phase vapeur assisté par plasma

L’ensemble du procédé de réalisation des films SiOC poreux par l’approche de restructu-ration tel que développé par Trikon est basé sur le dépôt chimique en phase vapeur assistépar plasma (PECVD).

2.1.1 Principe du dépôt chimique en phase vapeur (CVD)

Le principe physique de la CVD est représenté schématiquement sur la figure 2.1. Ilcorrespond à la formation d’un film par réaction chimique de précurseurs gazeux à la surfaced’un substrat.

Figure 2.1 – Principe du dépôt chimique en phase vapeur (CVD).

On peut décomposer ce phénomène en 3 étapes :

1. transport des espèces vers la zone de réaction : cela correspond au transfert des espècesréactives dans la chambre de dépôt, puis à la diffusion de ces espèces gazeuses vers lasurface du substrat, enfin l’adsorption de ces espèces sur la surface ;

2. réactions à la surface : une fois les espèces adsorbées sur le substrat, il peut y avoirou non diffusion des espèces à la surface pour « rejoindre » la zone de nucléation, puisréaction des espèces pour conduire à la formation du film (la couche obtenue peut êtreamorphe, semi-cristalline ou cristalline) ;

36

Page 49: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.1. DÉPÔT CHIMIQUE EN PHASE VAPEUR ASSISTÉ PAR PLASMA

3. retrait des produits de réaction et des espèces qui n’ont pas réagi : désorption de lasurface, diffusion loin de la zone réactive et transport gazeux hors de la chambre.

La vitesse de dépôt est donc régie par l’étape la plus lente parmi ces trois phases (vitessede transport jusqu’à la zone de réaction, cinétique de réaction ou vitesse de désorption desproduits de la réaction). La formation d’un film continu s’obtient par un mécanisme de typenucléation-croissance (figure 2.2). Pour obtenir un film mince avec une bonne uniformité, ilfaut contrôler la croissance de ce dépôt. C’est pourquoi, on se place de préférence dans lesconditions telles que la cinétique des réactions en surface soit lente par rapport aux processusde transport des espèces gazeuses vers la surface. La phase de réaction peut être obtenueen utilisant toute source d’énergie comme la chaleur, le rayonnement ultra-violet, le plasma,ou autre, que ce soit seule ou en combinaison. Classiquement, l’activation est obtenue enchauffant le substrat sur lequel on désire réaliser le dépôt. Dans le cas des films diélectriquespour la microélectronique, un plasma fournit les espèces réactives pour former le film : c’estle dépôt par voie chimique en phase vapeur assisté par plasma (PECVD).

Figure 2.2 – Étapes de formation d’un film par CVD.

2.1.2 Le plasma

C’est un état de la matière particulier. On peut le comparer à un gaz partiellement ionisé.Il est constitué de molécules, d’ions, de radicaux, et surtout d’électrons en quantité plusimportante que dans les gaz. C’est un état qui se retrouve dans de nombreux phénomènescomme par exemple les étoiles, le vide interstellaire, les flammes ou les arcs électriques. Ondistingue deux catégories de plasma : ceux dits « chauds » dans lesquels toutes les espècessont ionisées et excitées, et les plasmas froids. Ceux employés pour les films isolants sont desplasmas froids non thermiques. Dans ce cas, le milieu est hors équilibre thermodynamique carles électrons ont une énergie beaucoup plus élevée que celle des autres constituants présentsdans le plasma.

Pour obtenir un plasma, les gaz réactifs sont soumis à un champ électromagnétique. Lesélectrons accélérés par ce champ vont entrer en collision avec les molécules et atomes du gaz.Le plasma s’établit pour des conditions favorisant une réaction en cascade des ionisations etdonc l’enrichissement du milieu en électrons et espèces réactives. Certaines espèces excitées

37

Page 50: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

peuvent émettre un rayonnement électromagnétique lors de la désexcitation, qui possèdeune partie dans le visible. C’est pourquoi le terme de décharge luminescente est égalementutilisé pour désigner les plasmas froids. La densité en espèces et leurs énergies moyennes sontprincipalement régies par les caractéristiques du champ électrique appliqué : la fréquence etla puissance transmise. A basse fréquence, les électrons et les ions oscillent avec le champ.Dans le cas des plasmas radiofréquence (RF), cette valeur est suffisamment élevée pour nepermettre qu’aux électrons de suivre les oscillations. Si l’on augmente encore cette fréquence(vers les micro-ondes), on augmente la fréquence des collisions et de ce fait la densité duplasma, mais aussi le taux d’ionisation. Ce sont des plasmas à haute densité. La présence d’ungrand nombre d’espèces ionisées dans les plasmas froids leur confère un caractère conducteur.De plus, comme le potentiel des parois s’ajuste très rapidement pour équilibrer les flux decharges, le potentiel du plasma est toujours supérieur à celui des surfaces en regard. Ilapparaît une zone de charge d’espace où ce potentiel chute entre le plasma et la paroi. Danscette zone, appelée gaine, les ions subissent une accélération donnant lieu à un bombardementionique des parois.

- Propriétés du plasma -

Dans le cas des applications pour le dépôt de couches minces en microélectronique, leplasma est utilisé comme apport d’énergie pour permettre de réduire la température néces-saire au processus de CVD. En effet, les réactifs sont amenés non plus sous forme gazeusemais sous la forme de radicaux libres, d’ions qui rendent le milieu plus réactif. Cela permetaussi d’augmenter la vitesse de dépôt du film, ce qui est intéressant d’un point de vue indus-triel. Le tableau 2.1 présente les caractéristiques typiques des plasmas utilisés pour le dépôtde films minces diélectriques.

Tableau 2.1 – Caractéristiques typiques d’un plasma froid radiofréquence.

Paramètres Fréquence (MHz) 13,56

du Puissance (W) 100-3000

procédé Pression (Torr) 0,05-5

Grandeurs Densité du plasma n (cm−3) 109-1011

caractéristiques Taux d’ionisation 10−6-10−3

du plasma Température électronique (eV) 1-5

Les trois premiers sont des paramètres liés au procédé. La fréquence qui génére le plasmapermet de jouer sur le bombardement ionique du substrat. Il est ainsi possible de modifierla densité du film, sa structure et sa vitesse de formation. Dans le cas d’une chambre dedépôt pour film diélectrique, on utilise une radiofréquence fixe standard de 13,56 MHz. Ledeuxième paramètre est la puissance. Pour déposer un film, il est nécessaire de fragmentersuffisamment les molécules de précurseurs en phase gazeuse dans le plasma. Ce taux dedissociation est directement dépendant de la puissance du plasma. Une augmentation dela puissance permet d’augmenter la quantité d’espèces réactives. Pour la pression dans la

38

Page 51: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.1. DÉPÔT CHIMIQUE EN PHASE VAPEUR ASSISTÉ PAR PLASMA

chambre, celle-ci doit être suffisamment grande pour favoriser les réactions en phase gazeuseet permettre de limiter le bombardement du substrat par les espèces ioniques. Cependant,une pression trop importante risque de conduire à la formation de poudre par des phénomènesde nucléation en phase gazeuse. Un autre paramètre important qui n’est pas présenté dans letableau car très variable d’un procédé de dépôt à l’autre et qui prend toute son importancedans le dépôt que nous étudions est la température du substrat. Elle joue principalement surles réactions de surface qui entraînent la formation du film. Une température élevée aide àla mobilité des espèces mais aussi à la désorption des espèces adsorbées. Cela entraîne unebaisse de la vitesse de croissance mais aussi une densification du matériau. Nous citeronspour terminer un dernier paramètre majeur du procédé qui est la géométrie de l’enceinte.Son volume, sa forme, la position du substrat par rapport au plasma (écart entre électrodes),etc, jouent sur l’écoulement des gaz dans la chambre et donc sur les processus réactionnelsqui permettent le dépôt.

Les trois derniers paramètres du tableau 2.1 sont des grandeurs caractéristiques du plasmaqui découlent des paramètres explicités plus haut, des espèces mises en jeu, etc. Ces gran-deurs sont reliées aux populations présentes dans le plasma. Celles-ci ont une distributionen énergie et en vitesse, cependant on peut définir des valeurs moyennes pour comprendreles caractéristiques globales de ces plasmas. La densité du plasma qui détermine la quantitéd’espèces présentes dans le milieu doit respecter la loi de neutralité pour les espèces chargéesdu fait de la neutralité globale de ce milieu :

ni+ = ni− + ne (2.1)

avec ni+ et ni− la densité en ions positifs et négatifs respectivement, et ne la densité d’élec-trons. On peut aussi définir de façon simple le taux d’ionisation par :

αi =ni

ni + n0

(2.2)

où n0 représente la densité en espèces neutres, et le taux de dissociation par :

αd =nr

nr + n0

(2.3)

pour lequel nr est la densité en radicaux libres [Yas85]. Enfin, comme le plasma est horséquilibre thermodynamique, les différentes espèces ont des énergies différentes. Il est difficiled’établir une température thermodynamique comme pour un gaz neutre. On distingue donc3 températures selon les espèces présentes dans le plasma : électrons, ions et neutres. Ellescorrespondent à l’énergie des espèces, et on peut utiliser globalement la relation suivante,employée pour les gaz, entre énergie cinétique et température statistique :

Ec =1

2mV 2 ≡ 3

2kT (2.4)

avec Ec l’énergie cinétique de l’espèce considérée, m sa masse, V sa vitesse, T sa températurestatistique et k la constante de Boltzmann. Comme les masses des ions et des molécules sontvoisines, leurs températures sont équivalentes et proches de la température ambiante. Enrevanche la température des électrons est beaucoup plus élevée (de l’ordre de 20000K). Ondéfinit généralement deux autres grandeurs qui découlent de l’environnement du plasma, à

39

Page 52: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

savoir les parois de la chambre et le substrat dans notre cas, qui sont : le potentiel plasmaet la gaine. Lors du régime transitoire, tout élément qui vient perturber le plasma reçoitune quantité plus grande d’électrons que d’ions du fait de la faible mobilité de ces derniers.Chaque élément se charge donc négativement par rapport au plasma. L’équilibre est ensuiteatteint quand cette charge est suffisante pour égaliser les flux d’ions attirés par cet élémentnégatif et d’électrons repoussés. L’élément prend alors un potentiel flottant inférieur dequelques volts à quelques dizaines de volts au potentiel du plasma. Il se forme une barrièrede potentiel qui ne peut être franchie que par les électrons les plus énergétiques. Cela conduità l’apparition de la gaine électrostatique. Comme la densité électronique est faible à cetendroit, il y a peu de luminescence entre le plasma et les éléments l’entourant (parois etsubstrat). L’épaisseur de cette gaine est reliée à la longueur de Debye (λd) qui caractérisel’atténuation de la perturbation du plasma due à l’élément extérieur. Cette longueur a pouréquation :

λd =

(

kTeε0

nee2

)1

2

(2.5)

avec k la constante de Boltzmann, Te la température électronique, ε0 la permittivité duvide, ne la densité du plasma et e la charge élémentaire (1,6.10−19 C). Dans les conditionsclassiques d’un plasma froid, cette longueur est de l’ordre de 100 µm.

- Réactions et formation du film -

Un plasma est donc un milieu composé de nombreuses espèces. Il en découle une quantitéimportante d’intéractions plus ou moins complexes. Basées principalement sur les collisionsentre électrons et molécules, il est possible de distinguer les réactions primaires qui mettenten jeu des électrons et des molécules neutres stables, des réactions secondaires qui fontintervenir les espèces instables. Des réactions entre particules lourdes sont aussi possibles.Nous donnons ici l’exemple de quelques unes de ces interactions pouvant siéger au sein duplasma.Réactions primaires sous l’impact d’un électron :

Excitation : AB + e− → AB∗ + e−

Ionisation : A + e− → A+ + 2e−

Dissociation : AB + e− → A + B + e−

Les espèces excitées lors de ces réactions primaires ont une durée de vie courte et peuventse désexciter de plusieurs façons :

Emission : AB∗ → AB + hνDissociation : AB∗ → A∗ + B∗

Dissociation radiative : AB∗ → A + B + hν

Réactions secondaires d’une molécule sous l’impact d’un électron :

Attachement : AB∗ + e− → A− + BDésexcitation : A∗ + e− → A + e−

40

Page 53: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.1. DÉPÔT CHIMIQUE EN PHASE VAPEUR ASSISTÉ PAR PLASMA

Réactions secondaires d’un ion sous l’impact d’un électron :

Dissociation : AB+ + e− → A∗ + BRadiation : A+ + e− → A∗ + hν

Réactions secondaires entre molécule neutre et ion :

Recombinaison : A+ + BC → AB+ + CAttachement : A+ + B → AB+

Transfert de charge : A+ + B → A + B+

Réactions secondaires entre molécule neutre et excitée :

Ionisation de Penning : A + B∗ → A+ + B + e−

Dissociation de Penning : AB + C∗ → A + B + C

Réactions secondaires entre deux ions :

Neutralisation : A+ + B− → A⋆ + B⋆

Neutralisation radiative : A+ + B− → AB + hν

Dans le cas des intéractions secondaires entre particules lourdes, les processus présentésci-dessus ne sont possibles que si la durée de vie des éléments instables est suffisammentimportante.

À partir de ces réactions dans le plasma, un flux de particules va diffuser vers le substrat.Une partie de ce flux peut être réfléchie tandis que le reste du flux est adsorbé à la surface.À partir de là, l’interaction entre l’entité adsorbée et la surface peut conduire à 4 réactionsprincipales : la gravure, le greffage, la réticulation ou le dépôt. La réaction de gravure inter-vient quand l’espèce réactive se combine avec un atome du substrat pour former une espècevolatile qui est éliminée du substrat. Cette réaction entraîne donc un arrachement de matièreen créant un nouveau site actif à la surface. Le greffage correspond simplement à la fixationde l’espèce réactive sur un site actif de la surface. La réticulation correspond en fait à l’ou-verture d’une liaison à la surface par interaction avec l’espèce réactive. Les deux liaisonspendantes peuvent alors « se réorganiser », c’est pourquoi on parle de réticulation puisquece phénomène favorise la réorganisation des liaisons composant la structure du film. Enfin,le dépôt correspond à l’adsorption de l’espèce réactive sur la surface active du substrat pourformer le film. Il existe deux types d’adsorption. La première, appelée physisorption, faitintervenir des forces de Van der Waals entre l’espèce adsorbée et le substrat. Il en résulteune liaison faiblement énergétique qui favorise la diffusion de la molécule à la surface voiresa désorption. La chimisorption est le deuxième type d’adsorption. Dans ce cas, l’énergiemise en jeu dans la liaison est comparable à celle d’une liaison chimique. Cette force peutêtre suffisamment importante pour entraîner la dissociation de la molécule sur la surface dusubstrat.

2.1.3 Procédé Orion de dépôt par PECVD

L’obtention d’un film poreux SiOC par l’approche de la restructuration de matrice passepar deux étapes distinctes. La première étape correspond à une phase de dépôt à bassetempérature (35C). La deuxième phase est un traitement de recuit assisté par un plasma

41

Page 54: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

Figure 2.3 – Schéma de l’équipement Trikon en vue de dessus.

d’hydrogène, la température du support est fixée à 400C. Le phénomène de restructurationse produit lors de cette deuxième étape, fixant les propriétés finales du film. Nous allons voirdans cette sous-section l’équipement qui permet de former ce film. L’étude du processus deformation du film poreux et de ces caractéristiques est présenté dans les sections suivantes.

Dans la configuration d’évaluation qui a été proposée (figure 2.3), l’équipement possèdetrois chambres : les deux premières sont utilisées pour réaliser le dépôt du film poreux, latroisième chambre ou module sert pour le dépôt de la barrière diélectrique. Dans la suite dece chapitre, nous n’abordons que les deux chambres du procédé Orion : la chambre de dépôtà 35C et la chambre de traitement à 400C. Il est à noter que la chambre de dépôt pour labarrière est identique au niveau de la conception avec celle pour le traitement servant à formerla porosité. L’avantage de ce système est d’offrir une chambre de remplacement dans le casd’une panne sur la chambre de traitement du procédé Orion. Comme le détaille la figure 2.3,l’équipement possède un port de chargement pour les plaques de diamètre 200 mm et unport pour les plaques de diamètre 300 mm. Il en découle que chaque élément de la chaînecinématique de déplacement de la plaque, du port de chargement vers les différents modules,doit être ajusté selon la taille de la plaque pour assurer un centrage final optimal dans lesdifférents modules pour limiter les effets de bord du plasma si la plaque était décentrée. Unbras robotisé récupère la plaque de sa boîte pour l’amener vers les sas de transfert servantde liaison avec le bras sous vide, qui lui permet d’accéder aux trois modules. L’ensemble decette chaîne de transfert est optimisé pour limiter la contamination de la face arrière des

42

Page 55: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.1. DÉPÔT CHIMIQUE EN PHASE VAPEUR ASSISTÉ PAR PLASMA

Tableau 2.2 – Paramètres standard pour obtenir un film SiOC poreux par l’approcherestructuration (procédé Orion).

Module 1 Module 2

Paramètres Dépôt Traitement

Température du support (C) 35 400

Température du diffuseur de gas (C) 100 100

Température des parois (C) 65 65

Puissance (W) 300-500 1000-2500

Pression dans la chambre (Torr) 2-2.5 4

Temps moyen pour un film de 500nm (s) 40-50 300-400

plaques par les deux bras robotisés.Pour le procédé Orion, la première chambre est équipée d’un plateau thermo-régulé qui

offre une plage de température accessible de 0 à 150C. La deuxième chambre, pour le traite-ment de recuit assisté par plasma d’hydrogène, possède un plateau chauffant permettant deréguler la température entre 300 et 450C. Hormis la différence du type de support chauffant,les deux chambres sont identiques dans leur conception. Les paramètres standards pour ob-tenir un film poreux avec ce procédé par restructuration sont présentés dans le tableau 2.2.La première étape est donc un dépôt à 35C avec comme précurseur le 4MS (formule chi-mique en trois dimensions dans le tableau 1.6, page 27) mélangé avec de l’hydrogène et del’oxygène gazeux. Les proportions peuvent changer selon la permittivité relative visée. Lorsde cette étape, le gaz porteur est l’azote. La deuxième étape est un plasma d’hydrogène qui

Figure 2.4 – Schéma descriptif des étapes du procédé Orion.

43

Page 56: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

se décompose lui-même en deux étapes : une première étape avec une puissance faible et unedeuxième étape avec une puissance plus importante. Nous verrons dans la section suivantepourquoi ce découpage a été mis en place. Après chaque passage d’une plaque dans un desmodules, un plasma de nettoyage est effectué avec une chimie fluoro-carbonée pour éliminerles dépôts occasionnés sur les parois (le fluor permet d’éliminer le silicium et le carbone sertpour l’oxygène qui sont les deux éléments principaux présents sur les parois). Ce nettoyageest suivi d’une étape de dépôt, sans plaque, dans toute la chambre de type SiO2 pour mainte-nir un volume de chambre constant d’une plaque à l’autre. Cette dernière opération permetd’assurer la répétitivité du procédé.

Le schéma 2.4 récapitule l’enchaînement d’étapes qui conduit à l’obtention du film poreux.Ce procédé permet de limiter le budget thermique que subit la plaque avec une étape de dépôtà température proche de l’ambiante et un traitement à 400C réduit à quelques minutes.

44

Page 57: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

2.2 Étude du phénomène de restructuration

Comme il vient d’être abordé, le phénomène de restructuration intervient lors de ladeuxième étape du procédé Orion : le recuit assisté par plasma d’hydrogène. Pour comprendrece phénomène, il est donc essentiel de connaître la structure et les propriétés du film à partirduquel ce processus s’applique : l’étape de dépôt à 35C.

2.2.1 Caractérisation du film après l’étape de dépôt

Le tableau 2.3 présente les paramètres standard (ou recette) de l’étape de dépôt. Cetterecette démarre dès que la plaque est dans le module et au contact du support thermo-régulé.Les deux premières étapes permettent de stabiliser les flux gazeux et de les homogénéiserdans la chambre pour assurer un plasma homogène au-dessus de la plaque lors de la troisièmeétape qui correspond véritablement à la phase de dépôt. Le mélange gazeux introduit dans lachambre pour former le film est de type 4MS + oxygène + hydrogène dans des proportionséquivalentes (voir les débits de gaz durant le procédé dans le tableau 2.3). L’azote est utilisécomme gaz porteur pour amener les précurseurs jusqu’à la zone du plasma. Du fait de soncaractère neutre, il n’intervient pas dans les processus d’excitation, dissociation et autre duplasma. Le temps d’établissement d’un plasma stable dès que l’excitation radiofréquenceest mise en place est de l’ordre de 2 à 3 secondes. La vitesse de dépôt moyenne est de 10nm.s−1. Le choix de la température du support à 35C est dicté par le système de régulationqui est plus efficace et fiable avec une température légèrement supérieure à la températureambiante.

Tableau 2.3 – Paramètres du procédé pour l’étape de dépôt.

Stab. 1 Stab. 2 Étape de dépôt

Durée (s) 5 10 20-30

Pression (mTorr) 2000 2000 2000

Puissance RF (W) 0 0 500

Espace entre électrode (mm) 20 20 20

Débits de gaz

Azote (sccm)⋆ 2400 2400 2400

Oxygène " 800 800 800

Hydrogène " 0 750 750

4MS " 0 700 700

⋆ Débit standardisé en centimètre cube par minute.

Pour mieux comprendre les processus de formation du film, l’analyse des produits deréaction pendant l’étape de dépôt a été conduite par la société Air Liquide lors de l’ins-

45

Page 58: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

tallation de l’équipement [Bla03]. Les mesures de concentration des espèces évacuées ontété obtenues en combinant un spectromètre de masse quadrupole et un spectromètre infra-rouge par transformée de Fourier en sortie de la chambre de dépôt. En ce qui concerne les gazemployés dans le plasma, hormis l’hydrogène qui n’est pas détectable avec ces techniques,seulement 15% du précurseur 4MS est utilisé par le plasma et ce taux monte à 40% environpour l’oxygène. La puissance du plasma est suffisamment faible pour que la dissociation de lamolécule précurseur 4MS soit limitée. Les produits de réaction sont nombreux et en diversesproportions (tableau 2.4). Ils proviennent principalement de la réaction entre l’oxygène et

Tableau 2.4 – Produits de réaction détectés en sortie de la chambre de dépôt, avec lesquantités mesurées entre parenthèse (en ppmv).

CO (997) HCOOH (947) H2CO (798) H2O (607)

CH4 (401) CO2 (290) C2H4 (267) 3MS (228)

CH3OH (146) C2H2 (18)

le 4MS, et de la dissociation du 4MS qui libère des groupements méthyles excités (CH3∗).

Cette dissociation conduit uniquement à l’évacuation de la forme 3MS en faible proportion.La molécule 3MS peut résulter d’une dissociation du 4MS suivie par une recombinaison avecun atome d’hydrogène excité comme présenté ci-dessous :

4MS → Si(CH3)∗3 + CH3∗

Si(CH3)∗3 + H∗ → 3MS

Il semble donc que les espèces dérivées du 4MS qui sont favorisées pour le dépôt soientde type 1 atome de silicium lié à seulement 1 ou 2 groupements méthyles (type 2MS et1MS excités). La formation d’une structure de type oxyde est privilégiée. Les molécules typeHCOOH, H2CO et CH3OH proviennent de réactions entre les espèces excitées CH3

∗, O∗ et H∗.Le monoxyde de carbone est un sous-produit de la dissociation des molécules précédentes.Lorsque les espèces issues du plasma se déposent à la surface, la faible température dusubstrat limite les réactions et les déplacements à la surface. Le film ainsi déposé possèdedonc une proportion importante de groupements méthyles, une grande proportion d’oxygènequi est lié principalement au silicium et en moindre quantité à l’hydrogène.

Tableau 2.5 – Propriétés du film après l’étape de dépôt à 35C.

Valeur Technique de mesurePermittivité relative 3,0 ± 0,1 Sonde de mercureIndice de réfraction 1,42-1,43 ± 0,5 EllipsométrieContrainte résiduelle (MPa) < 10 ⋆ Mesure de rayon de courbure⋆ Valeur en dessous de la limite de détection de l’équipement.

Les propriétés principales de ce film sont données dans le tableau 2.5. Cette étape permetde déposer un film amorphe de type SiOC dense. En effet, la permittivité relative et l’indice

46

Page 59: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

de réfraction sont équivalents à ceux du film SiOC dense utilisé pour les générations 90 et65 nm. Le dépôt à basse température ne favorise pas la réticulation du film pour permettred’avoir un film avec des propriétés acceptables.

Pour avoir une idée de la structure et des liaisons mises en jeu dans ce film, la spec-troscopie infrarouge par transformée de Fourier a été utilisée (Fourier Transform InfraRedspectroscopy : FTIR). Cette technique permet d’obtenir un spectre d’absorbance en fonc-tion du nombre d’onde (inverse de la longueur d’onde) dans la gamme 400-4000 cm−1. Achaque valeur correspond une énergie de vibration d’un type de liaison. La mesure est faitepar transmission en incidence normale. L’appareil possède une résolution de 1 cm−1. Avantchaque mesure, le spectre de l’atmosphère dans la cellule de mesure est enregistré pour êtreensuite soustrait automatiquement au spectre mesuré avec la plaque. Une première mesureest réalisée avant de déposer le film sur le substrat de silicium pour pouvoir soustraire sonsignal lors de la deuxième mesure substrat + film.

1000 2000 3000 40000,00

0,05

0,10

0,15

Figure (b)

C=O

Si-(CH3)x

Si-O-Si

Abs

orbanc

e (u

.a.)

Nombre d'onde (cm-1)

Figure (c)

(a) Spectre FTIR du film après dépôt.

400 500 600 700 800 9000,00

0,05

0,10

0,15

Si-(CH3)H-SiO

Si-O-Si

Si-(CH3)2Si-(CH3)3

Abs

orbanc

e (u

.a.)

Nombre d'onde (cm-1)

O-Si-O

(b) Attribution des pics dans la zone 400-900 cm−1.

2000 2500 3000 35000,000

0,025

0,050

C-H2

C-H3

H-SiO2Si

H-SiO3

Abs

orbanc

e (u

.a.)

Nombre d'onde (cm-1)

Si-OH

(c) Attribution des pics au-delà de 2000 cm−1.

(d) Schéma descriptif de la structure du film aprèsdépôt.

Figure 2.5 – Structure du film Orion après l’étape de dépôt.

47

Page 60: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

Une littérature, importante aujourd’hui, référence clairement les liaisons mises en jeudans les films type SiOC (tableau 2.6, page 49). Cela permet d’indexer les pics d’absorbanceprésents sur le spectre du film après l’étape de dépôt (figure 2.5(a)). Ainsi, le pic à 435cm−1 correspond à la liaison O-Si-O (figure 2.5(b)). Le pic suivant à 710 cm−1 correspond au« complément » de cette liaison : Si-O-Si en mode étirement symétrique. Ce pic est de trèsfaible intensité par rapport au mode antisymétrique qui correspond au pic majeur présentsur le spectre entre 975 et 1235 cm−1 (figure 2.5(a)). Il sera étudié en détail un peu plus loin.Le groupe suivant de pics (entre 730 et 950 cm−1), peut être décomposé comme présenté surla figure 2.5(b). Il met en évidence la liaison entre des groupements méthyles et un atomede silicium, principalement 2 ou 3 groupes pour une sollicitation par étirement. Cette liaisonréapparaît dans les pics à 1265 et 1412 cm−1 pour une sollicitation en cisaillement de Si-(CH3)x (figure 2.5(a)). Le pic à 885 cm−1 pourrait être attribué à une liaison Si-C mais ilcorrespond à la liaison H-SiO comme le montrera la mesure FTIR après l’étape plasma oùl’intensité de ce pic suit les évolutions d’intensité d’autres pics marqueurs de cette liaison.Enfin, les pics au delà de 2000 cm−1 peuvent être attribués selon la figure 2.5(c), avec unpremier groupe autour de 2200 cm−1 pour les liaisons type Si-H et un deuxième entre 2790et 3030 cm−1 pour les liaisons type C-H qui proviennent des groupements méthyles liés ausilicium. Le large pic adossé à ce dernier groupe correspond à l’ensemble des liaisons de typeSi-OH. Cette première analyse montre donc que le film est constitué d’un grand nombrede liaisons Si-O-Si qui forment l’ossature du film sur laquelle sont greffés des groupementsméthyles, hydroxyles et de l’hydrogène. Pour affiner cette hypothèse, le pic Si-O-Si peut êtredéconvolué en trois composantes selon les données du tableau 2.6 et les travaux de [Gri03].En effet, une variation de l’angle formé par le triangle Si-O-Si se traduit par un décalage ennombre d’onde. Les valeurs les plus faibles correspondent à un angle plus fermé (inférieurou égal à 144) et inversement les valeurs les plus fortes à un angle plus ouvert (jusqu’à150). Cette variation d’angle a un impact direct sur la structure que va former le motifSi-O-Si. Pour un angle moyen de 144, la structure est dite en réseau. La réduction decet angle conduit à une structure de type oxyde sous-stœchiométrique plus dense, et sonaugmentation à l’ouverture de la structure pour former non plus un réseau mais une cage.Le réseau Si-O-Si est localement déformé, sous forme de cage, certainement par la présencede groupements méthyles qui ont un encombrement stérique important comme l’illustre leschéma de la figure 2.5(d).

Ce film présente donc, après dépôt, des caractéristiques relativement médiocres si l’onveut l’utiliser tel que. En revanche, la matrice faiblement réticulée et la présence en grandequantité de groupements hydroxyles sont des points très intéressants pour permettre larestructuration conduisant au matériau final poreux comme nous allons le voir dans la sectionsuivante.

48

Page 61: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

Tableau 2.6 – Table des modes de vibration en absorption infrarouge pour les matériauxde type SiOC [Gri03, Rau94, Rem03]. (a = antisymétrique, s = symétrique, ν = étirement,δ = cisaillement, ρ = rotation)

Nombre d’onde (cm−1) Mode(s) de vibration Commentaires

3300 - 3700 ν OH

3060 νa C-H Pour C=C-H

3020 νs C-H Pour C=C-H

2960 νa C-H Pour CH3

2915 - 2930 νa C-H Pour CH2

2900 - 2905 νs C-H Pour CH3

2850 - 2870 νs C-H Pour CH2

2230 νs Si-H Pour H-SiO3

2180 νs Si-H Pour H-SiO2Si

2165 νs Si-H Pour H-SiOSi

1700 - 1740 ν C=O

1600 ν C=C Liaisons conjuguées

1405 - 1415 δa C-H3 Pour Si-(CH3)x

1350 δ C-H2 Pour Si-CH2-Si

1260 δs C-H3 Pour Si-(CH3)x

1130 - 1140 νa Si-O-Si Structure cage

ν C-O Pour Si-O-C

1060 - 1090 νa Si-O-Si Réseau ou siloxanes linéaires

Cycle ≥ 4

1020 - 1030 νa Si-O-Si SiO2 sous-stœchiométrique

Cycle type D3

880 - 900 δ H-Si-O Pour H-SiO3

ν Si-C, ρs CH3 Pour Si-(CH3)2

865 δ H-Si-O Pour H-SiO2Si

840 - 850 ν Si-C, ρa CH3 Pour Si-(CH3)3

δ H-Si-O Réseau à petit angle

800 ν Si-C, ρa CH3 Pour Si-(CH3)2

770 - 780 ν Si-C, ρ CH3 Pour Si-(CH3)

ν Si-C, ρs CH3 Pour Si-(CH3)3

710 - 730 νs Si-O-Si

440 δ O-Si-O Réseau et cycle

49

Page 62: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

2.2.2 Caractérisation du film après l’étape de recuit assisté parplasma

La deuxième étape du traitement va être le siège de nombreuses réactions pour permettrede passer d’un film dense à un film poreux. Voyons d’abord le détail de la recette de cettedeuxième étape (tableau 2.7). L’étape de stabilisation des gaz est réduite au minimum pouréviter de traiter le film par la température (dès que la plaque est au contact du supportchauffant) sans plasma. Le traitement plasma est divisé en deux étapes : l’une à faiblepuissance et l’autre à plus forte puissance. La première étape est obligatoire pour éviter quele film se décolle de la plaque. En effet, la restructuration de la matrice est une modificationviolente de la structure induisant des contraintes importantes. Si la puissance de la premièreétape est trop importante, le phénomène est accentué, le film est fortement contraint jusqu’àprovoquer son décollement du substrat (voir l’étude en annexe A). Cependant, une puissancesuffisante est requise pour démarrer ce processus. La deuxième étape permet d’accélérer letraitement pour maintenir un temps total de recuit acceptable pour un procédé industriel.Ce traitement conduit à une augmentation de l’épaisseur du film par rapport à l’étape dedépôt d’environ 20%.

Tableau 2.7 – Paramètres du procédé pour l’étape de traitement de recuit assisté par plasmad’hydrogène (les temps des étapes 1 et 2 peuvent varier selon l’épaisseur du film après dépôt,l’exemple est ici donné pour une épaisseur finale de 300 nm).

Stabilisation Étape 1 Étape 2

(faible puissance) (forte puissance)

Durée (s) 2 180 70

Pression (mTorr) 4000 4000 4000

Puissance RF (W) 0 1000 2500

Débit d’hydrogène (sccm) 1600 1600 1600

Espace entre électrode (mm) 25 25 25

Comme pour la sous-section précédente, l’étude réalisée par Air Liquide a permis demettre en évidence les produits de réaction lors de cette deuxième étape. Le traitementplasma à 400C entraîne la désorption de deux espèces seulement : CH4 et CO dans desproportions relativement faibles par rapport aux mesures obtenues lors de l’étape de dépôt(respectivement 91 et 69 ppmv pour le pic d’intensité maximum). Ces espèces proviennentdu film puisque les parois de la chambre sont recouvertes de SiO2 avant le traitement plasma.Il semble donc que ce traitement consomme une partie du carbone présent dans le film. Deplus, cette désorption n’apparaît qu’au début du traitement. Après 40 secondes, il n’y a plusaucune désorption détectable.

La structure finale du film, illustrée par la figure 2.6, a été observée par Microsco-pie Électronique en Transmission (MET) et couplée à une mesure filtrée en énergie surl’image(EFTEM: Energy Filtered TEM) ou à la spectroscopie de perte d’énergie électro-

50

Page 63: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

nique (EELS: Energy Electron Loss Spectroscopy). Pour bien distinguer le matériau, notam-ment en contraste chimique, le film a été déposé sur un oxyde de silicium. L’encapsulationpar du silicium amorphe est nécessaire pour permettre la préparation de l’échantillon pourl’observation.

La photo MET (figure 2.6(a)), apparaît avec un gradient d’intensité de gris en profon-deur par rapport à l’oxyde. De plus, cette coupe met en évidence la présence de porositédans la zone basse du film sous la forme de petites taches claires. À partir de cette image,une mesure d’intensité du signal électronique (arbitrairement notée A) a été réalisée selonl’épaisseur du film (figure 2.6(b)). Cette mesure correspond au logarithme du rapport duflux total d’électrons, It, qui traversent l’échantillon (de manière élastique et inélastique) sur

(a) Coupe MET d’un film Orion déposésur une couche d’oxyde.

0 100 200 300 400 500 600 7001,1

1,2

1,3

1,4

1,5

1,6

1,7 Encapsulation

Oxyde

Film Orion

Substrat SiA

(u.a.)

Profondeur (nm)

(b) Profil du rapport (A) de l’épaisseur sur le libreparcours moyen des électrons obtenu à partir de lacartographie en épaisseur sur la coupe MET.

(c) Cartographie chimique EFTEM ducarbone et de l’oxygène pour le filmOrion.

0 100 200 300 400 500 600 7000

100

200

300

400

500

O C

Oxyde

Enc

apsu

latio

n

Sub

strat S

ilicium

Film Orion

e-

Profondeur (nm)

(d) Profils en profondeur de l’oxygène et du carboneobtenus à partir d’une mesure EELS.

Figure 2.6 – Caractérisation de la composition en profondeur d’un film Orion après l’étapede restructuration.

51

Page 64: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

le flux d’électrons, I0, qui traversent l’échantillon sans intéraction inélastique. Ce rapport estéquivalent à :

A = LnIt

I0

=e

λinelastique(2.6)

avec e l’épaisseur et λinelastique le libre parcours moyen des électrons. Cela permet de déter-miner la densité du film qui est inversement proportionnelle au libre parcours moyen desélectrons et donc directement proportionnelle au rapport A. Les différentes couches qui en-tourent le film Orion apparaissent nettement. Cette mesure permet de mettre en évidence leprofil de densité qui apparaît dans le matériau : la zone de surface est très dense par rapportà la zone de cœur, et la zone la moins dense se trouve proche du substrat. En utilisantles résultats de cartographie chimique et profil en profondeur (figure 2.6(c) et 2.6(d)), lesvariations de densité peuvent être reliées aux variations du taux de carbone, qui est présentdans le film. Ce taux de carbone est maximum dans la zone la moins dense et inversement.Ce profil montre donc une déplétion en carbone à la surface du film, et l’apparition d’ungradient à la fois en densité et en quantité de carbone avec la profondeur. L’oxygène possèdeun profil relativement plat par rapport au carbone. Toutefois, il apparaît un pic en surfacedu film, là où le taux de carbone est quasiment nul.

0 50 100 150 200 2500

20

40

60

80 Si O C Substrat

at.%

Temps de pulvérisation (s)

Film Orion

(a) Composition totale par élément chimique.

0 50 100 150 200 2500

10

20

30

40

50

60

70

80

Film Orion

at.%

Temps de pulvérisation (s)

SiOC SiOx Autre

Si Si Si (substrat) O O C C (conta.)

(b) Composition détaillée selon l’environnement.

Figure 2.7 – Analyse de composition chimique en profondeur d’un film Orion par spectro-scopie Auger.

Pour mieux comprendre ces profils, le film a été également analysé par spectroscopieAuger (figure 2.7). Pour obtenir le profil en profondeur, la sonde Auger est couplée à unfaisceau d’abrasion. Cela permet d’alterner mesure de la composition en proche surface puisabrasion de la zone après analyse. Le profil cumulé ramené à chaque élément chimique(figure 2.7(a)), qui est tiré des profils de la figure 2.7(b), permet de confirmer les mesuresobtenues à partir des images MET : la forme du profil de carbone avec la profondeur, lepic d’oxygène à la surface. La spectroscopie Auger permet aussi de déterminer la fractionatomique de chaque élément présent dans la zone d’analyse selon son environnement. Dansnotre cas, les valeurs obtenues sont surestimées puisqu’elles ne tiennent pas compte de la

52

Page 65: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

présence de l’hydrogène qui n’est pas détectable avec cette technique. La figure 2.7(b) montreainsi que les signaux de l’oxygène et du silicium proviennent d’environnements différentsselon la profondeur que l’on considère. En effet, la proche surface, dense et sans carbone, estconstituée de silicium et d’oxygène sous forme SiOx. En allant plus en profondeur, le tauxde carbone augmente et les signaux de l’oxygène et du silicium ne proviennent plus d’unenvironnement de type SiOx mais SiOC. En résumé, le film Orion présente un gradient dedensité et de carbone en profondeur. De plus, la surface du film est de type SiOx alors qu’enpénétrant plus au cœur de la couche, le film présente une composition de type SiOC. Leprocédé Orion engendre donc un film complexe.

Pour vérifier le lien entre le taux de carbone et la densité, la structure poreuse du film a étéanalysée par ellipsométrie porosimétrique. Cette technique associe la mesure par ellipsométriespectroscopique à un cycle de physisorption isotherme [Bak00, Bak01b]. La mesure de lavariation d’indice de réfraction et d’épaisseur de la couche analysée durant l’adsorption etla désorption de vapeur de solvant permet de déterminer plusieurs caractéristiques de lastructure poreuse comme la proportion de vide (taux de porosité) et la taille moyenne despores [Sim05]. La caractérisation de la structure poreuse d’un film passe par d’autres facteursqui sont plus difficiles à obtenir avec cette technique comme par exemple la porosité fermée(non interconnectée) mais qui n’interviennent pas dans le cas de ce film. Les résultats obtenussur la taille des pores sont valables dans l’approximation d’une forme sphérique de ceux-ci, cequi est rarement le cas dans la réalité. Cependant, cette valeur donne une idée suffisammentprécise pour évaluer cette couche.

La première mesure effectuée sur le film indique que celui-ci n’est pas poreux. Quelquesoit le solvant employé (variation de la taille de la molécule constitutive de la vapeur), cedernier ne pénètre pas dans le matériau. Ainsi, la couche illustrée précédemment de typeSiOx à la surface du film Orion est bien dense. Pour caractériser la zone poreuse qui doitse trouver en dessous, une gravure spécifique a été développée. Basée sur une chimie fluorée(mélange CF4/H2), elle permet de graver le matériau sans endommager la structure sous-jacente, qu’elle soit ou non poreuse. En adaptant le temps de gravure pour retirer le minimumde zone dense permettant la pénétration du solvant (environ 15% de l’épaisseur du film), lesmesures donnent une valeur du taux de porosité de 35%. Le film présente bien une partieporeuse, encapsulée par une couche dense. Pour obtenir le taux de porosité « global », ilest nécessaire de tenir compte de cette zone dense gravée en approximant cette dernièrecomme homogène, ce qui donne un taux de porosité plus faible, valant 30%. La mesure de lataille des pores montre une distribution bi-modale (figure 2.8(a)). La structure poreuse estprincipalement constituée de méso-pores1 (rayon moyen valant 1,8 nm), avec une proportionimportante de micro-pores (rayon moyen valant 0,8 nm). La mesure a ensuite été réaliséepour différents temps de gravure pour permettre de sonder en profondeur le film : mesureaprès gravure de 15, 40 et 80% de l’épaisseur du film de départ. La distribution en taille despores est représentée figure 2.8(b) en fonction de ces épaisseurs gravées. La taille moyenne desméso-pores augmente lorsque l’épaisseur gravée augmente (courbe à 40%) puis diminue pourse rapprocher de la valeur moyenne lorsque la partie du film proche du substrat est sondée.Cette variation peut être expliquée par une variation de la taille des pores en profondeur

1L’IUPAC (International Union of Pure and Applied Chemistry) classifie les pores selon leur diamètre :pour une taille supérieure à 50 nm, on parle de macro-pore ; entre 50 et 2 nm, ce sont les méso-pores ; enfinpour une taille inférieure à 2 nm, le terme micro-pore est employé.

53

Page 66: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

selon un profil gaussien. En effet, si l’on considère le taux de carbone comme étant corrélé àla présence de porosité dans le film, la taille des pores varie avec la profondeur. La mesureà 15% gravé tient compte de l’ensemble des pores et donne donc une double distributionglobale. En revanche, pour une gravure de 40%, la proportion de pores de grande tailledevient importante et la distribution des méso-pores se décale vers les hautes valeurs. Deplus, la proportion de micro-pores diminue. En effet, la mesure ne tient plus compte dela porosité « de surface » (en dessous de la couche dense) qui se compose de micro-poreset de méso-pores de faibles dimensions. En gravant jusqu’à 80% du film, la proportion deméso-pores décroît car le film redevient dense lorsqu’on se rapproche du substrat avec unetaille de pore plus petite. Cette zone contient une part importante de micro-pores ce quiexplique que leur proportion augmente à nouveau. Pour confirmer cette distribution, il fautregarder le taux de porosité qui donne une idée cette fois-ci sur le volume occupé par lespores. La figure 2.8(c) représente les valeurs du taux de porosité mesurées pour les différentes

1 100

2

4

6

8

10

121,8 nm

Propo

rtion

(u.a.)

Rayon des pores (nm)

0,8 nm

(a) Distribution de la taille des pores pour le filmOrion gravé de 15% en épaisseur.

1 100

5

10

15

20 15% gravé 40% gravé 80% gravé

Propo

rtion

(u.a.)

Rayon des pores (nm)

(b) Distribution de la taille des pores selonl’épaisseur gravée du film Orion.

0,0 0,2 0,4 0,6 0,8 1,00,0

0,1

0,2

0,3

0,4

0,5

Susbtrat Epaisseur (%)

Poros

ité (%

)

Surface

(c) Taux de porosité selon la profondeur du filmOrion.

0,0 0,2 0,4 0,6 0,8 1,00,0

0,1

0,2

0,3

0,4

0,5 Par tranche Extrapolation

Epaisseur (%)

Poros

ité (%

)

(d) Taux de porosité ramené à la tranche analy-sée.

Figure 2.8 – Caractérisation de la structure poreuse du film Orion par ellipsométrie poro-simétrique.

54

Page 67: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

épaisseurs du film. La distribution ressemble à celle du profil de carbone. Cependant, la zonela plus poreuse qui est indiquée sur la courbe est décalée vers la surface par rapport auprofil du carbone. Ce décalage provient de la mesure du taux de porosité qui donne pourchaque épaisseur la valeur totale (jusqu’au substrat) et non pas la mesure de la zone àl’épaisseur considérée. Pour corriger cela, l’écart de porosité entre deux mesures ramené àl’épaisseur gravée a été calculé. Cela correspond à une valeur moyenne du taux de porositépar tranche de matériau gravé. À partir de ces calculs, il est possible de représenter letaux de porosité « local » en fonction de l’épaisseur du film. Avec seulement trois points demesure, la résolution en profondeur est relativement faible pour une représentation de typehistogramme (figure 2.8(d)). Cependant, il apparaît clairement que la zone de forte porositéne se trouve pas proche de la surface mais dans la moitié inférieure de l’épaisseur du film.De plus, en extrapolant ces points pour déterminer un profil de type gaussien (courbe dela figure 2.8(d)), on constate la bonne concordance entre ce profil de porosité et la teneuren carbone de la figure 2.6(d) (page 51). Ces mesures croisées avec les mesures de profil enspectroscopie Auger illustrent le lien entre porosité et taux de carbone.

Enfin, la structure de la matrice a été analysée en utilisant la mesure FTIR. Le spectreobtenu après l’étape de recuit assisté par plasma a été comparé à celui obtenu avant, c’està dire après l’étape de dépôt (figure 2.9). Les deux spectres possèdent les mêmes pics, seulle pic associé à la liaison Si-OH a disparu (3400 cm−1). De manière générale, il apparaîtque les pics associés à la présence de carbone ont diminué, ceux à la présence d’hydrogèneont augmenté. Ces variations confirment les mesures précédentes par rapport au gradient decarbone en montrant que l’étape plasma consomme le carbone à la surface. De plus, le tauxde liaisons pendantes comblées par l’hydrogène a augmenté sous l’effet du plasma, commele montre l’augmentation des pics à 885 et autour de 2200 cm−1. Pour terminer, l’ensembledes pics associés à la liaison Si-O-Si augmentent de manière importante, notamment le picprincipal vers les nombres d’onde élevés (encart de la figure 2.9(a)). Ainsi, la comparaison dela déconvolution de ce pic après les deux étapes (figure 2.9(b)) montre que la contribution

1000 2000 3000 40000,00

0,05

0,10

0,15

0,20

1000 1100 1200 13000,00

0,05

0,10

0,15

0,20

Abs

orba

nce

(u.a.)

Nombre d'onde (cm-1)

Dépôt Recuit

(a) Spectres FTIR du film Orion après l’étape dedépôt et de recuit plasma.

950 1000 1050 1100 1150 1200 12500,00

0,02

0,04

0,06

0,08

0,10

0,12

0,14

"Oxyde"

Réseau

Après dépôt Après recuit

Abs

orba

nce

(u.a.)

Nombre d'onde (cm-1)

Cage

(b) Déconvolution du pic Si-O-Si.

Figure 2.9 – Analyse FTIR du film Orion avant et après l’étape de recuit assisté par plasmad’hydrogène.

55

Page 68: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

de la configuration en réseau a faiblement augmenté contrairement à celle de l’oxyde. Celaprovient certainement de la zone dense qui s’est formée dans la partie supérieure du filmet qui est, en proche surface, de type SiOx. L’augmentation la plus importante provient dupic déconvolué de la structure cage qui illustre clairement l’apparition de la porosité dans lefilm. En effet, sa position s’est décalée vers les nombres d’onde élevés : de 1115 cm−1 après ledépôt à 1130 cm−1 après le recuit assisté par plasma. Ceci indique une ouverture plus grandede la liaison Si-O-Si, et donc une déformation plus importante de la structure cage. En effet,elle n’abrite plus seulement un groupement méthyle mais aussi un pore de diamètre donné.

Pour conclure sur cette caractérisation, le film après l’étape de recuit assisté par plasmaprésente une structure gradée en profondeur en carbone qui est corrélée avec la densité,la distribution du taux de porosité et de la taille des pores. De plus, l’apparition des poresconduit à une déformation du réseau Si-O-Si pour donner une structure en cage bien marquée.Enfin, l’étape de recuit assisté par plasma a fait complètement disparaître les groupementshydroxyles présents dans le film après dépôt.

2.2.3 Processus de restructuration

À partir des caractérisations menées sur le film avant et après l’étape de restructuration,plusieurs mécanismes peuvent être proposés pour expliquer le processus de formation du filmOrion. Nous allons d’abord décrire l’effet du plasma hydrogène sur la couche SiOC dense,puis l’effet de la température sur la structure Si-O-Si et nous verrons la nécessité de couplerles deux pour obtenir un phénomène de restructuration stable.

Comme il a été montré dans la sous-section précédente, les atomes d’hydrogène excitéspar le plasma viennent frapper la surface du film avec suffisamment d’énergie pour casserles liaisons les plus faibles : Si-C et SiO-H. Cela libère des éléments réactifs tels que H∗,CH2

∗ et CH3∗. Ceux-ci se recombinent entre eux ou avec des éléments H∗ du plasma pour

former des molécules de méthane qui sont désorbées du film (étape 1 de la figure 2.10).Ce bombardement engendre un nombre important de liaisons pendantes pour les atomesde silicium et d’oxygène. Cet effet, combiné à la température, permet aux liaisons de seréarranger pour former une structure plus stable. Sans groupement méthyle pour déformerle réseau préexistant, la structure Si-O-Si nouvellement pontée prend une forme proche del’oxyde de silicium. L’équation suivante présente un exemple possible de réactions conduisantà la formation de la structure Si-O-Si :

-Si-CH3 + H-O-Si- + 2 H∗ → -Si∗ + ∗O-Si--Si∗ + ∗O-Si- → -Si-O-Si-

L’atome d’hydrogène est suffisamment petit et l’énergie acquise dans le plasma suffisammentgrande pour pouvoir pénétrer profondément dans le film. Cependant, l’énergie des atomesd’hydrogène excités et leur quantité va en décroissant au fur et à mesure que l’on pénètredans le film du fait des diverses collisions élastiques ou inélastiques. En dessous d’une certainevaleur (et donc d’une certaine profondeur), leur énergie n’est plus suffisante pour permettreà ces particules de casser les liaisons Si-C. Cela engendre une déplétion en carbone à lasurface du film, là où les particules ont le plus d’énergie et sont en grande quantité. Lateneur en carbone augmente en profondeur du fait d’une population de particules excitéesd’hydrogène moins abondante et moins énergétique. Cette cinétique semble assez rapidepuisqu’après 40 secondes de traitement (soit à peine un cinquième du temps de traitement

56

Page 69: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

de l’étape 1), le dégagement de méthane s’arrête avec certainement la phase de densificationen surface du matériau. Cependant, la présence d’une quantité importante de liaisons Si-Haprès le traitement plasma dans le volume du film (mesures FTIR) indique que si les atomesd’hydrogène excités n’ont plus l’énergie nécessaire à la rupture des liaisons Si-C, ils peuventen revanche participer au processus de restructuration au cœur du matériau et comblernotamment certaines liaisons pendantes du silicium (étape 2 de la figure 2.10).

Figure 2.10 – Intéractions lors de l’étape de restructuration .

Nous venons de voir l’effet du plasma sur le film. En ce qui concerne l’effet de la tempéra-ture sur la structure Si-O-Si, il est nécessaire de commencer par la présence importante desgroupes OH dans le film. Un processus bien connu dans le cas des films SiOC déposés parcentrifugation utilise ces liaisons : la condensation. L’équation suivante rappelle la réactionde base de ce phénomène :

≡Si-O-H + H-O-Si≡ → ≡Si-O-Si≡ + H2O

Dans le cas du processus de restructuration, il est possible de proposer d’autres hypothèsesde réactions secondaires comme l’équation suivante :

≡Si-O-H + H-Si≡ → ≡Si-O∗ + ∗Si≡ + 2 H∗

≡Si-O∗ + ∗Si≡ → ≡Si-O-Si≡

Dans cette réaction, une terminaison OH réagit avec une terminaison hydrogène (voir lareprésentation schématique de la structure modifiée sur la figure 2.11). D’autres réactionssont possibles à partir notamment de plusieurs groupement hydroxyles. Dans tous les cas, lacondensation donne lieu à un pontage entre les extrémités de deux chaînes de type Si-O-Si.Ce processus doit s’effectuer préférentiellement dans les zones les moins denses du matériau,là où l’espace est suffisamment important pour permettre ce réarrangement des liaisons. Ceszones correspondent aux liaisons présentes autour des groupements méthyles. Ainsi le coupleOH/CH3 dans le film jouent le rôle de catalyseur du processus de condensation. Cet environ-nement couplé à plusieurs réactions de condensation doit favoriser la formation de pontages

57

Page 70: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

Si-O-Si avec un angle très ouvert. L’angle ainsi formé vient déformer la structure cage déjàprésente autour du groupement méthyle. Cette déformation locale devient suffisamment im-portante pour engendrer une structure cage « emprisonnant » un groupement méthyle avecsuffisamment de volume libre autour pour donner naissance à un pore (figure 2.11). Selonla liberté de déformation de cette cage, il est possible d’obtenir des structures poreuses detailles différentes qui se retrouvent dans la distribution bi-modale de la taille des pores dufilm après restructuration. Si le volume libre disponible pour l’expansion est réduit, commepar exemple proche du substrat ou de la surface qui est en cours de densification sous l’effetdu plasma, la déformation de la structure autour du groupement méthyle est faible et conduità un micro-pore. En revanche, au cœur du matériau et donc plus loin des effets du plasmaou de la rigidité du substrat, la structure peut se déformer de manière plus importante pourdonner un méso-pore. Ainsi, la structure poreuse peut apparaître dans l’ensemble du filmmais son maintien est limité par les zones de contrainte que sont le substrat et la couchedense en surface. Ceci explique le profil de porosité dans le film, qui suit le profil de carbone.L’effet du plasma est graduel en s’intensifiant vers la surface tout comme l’effet de rigidité dusubstrat qui agit sur une profondeur beaucoup moins importante car le film, grâce à un dépôtà basse température, relaxe cette contrainte plus facilement qu’un film épitaxié par exemple.Les mesures de porosité ont montré de plus que la forme des pores était probablement detype allongée verticalement. Ajouté au fait que le film gonfle en épaisseur d’environ 20%lors de cette étape, cela semble indiquer que le phénomène de condensation des groupementssilanols autour d’une structure cage favorise la déformation du film dans une direction nor-male à la surface libre. Ce phénomène de restructuration a été présenté en deux dimensionsavec un seul groupement méthyle pour faciliter la compréhension (figure 2.11). On imagineaisément que la réalité à trois dimensions est beaucoup plus complexe mais peut s’appuyersur les hypothèses que nous venons de formuler.

Figure 2.11 – Schéma possible de l’évolution de la structure du film lors de l’étape derestructuration (déformation de la structure cage).

Les deux processus présentés plus haut semblent antagonistes puisque l’un favorise ladensification et l’autre la formation de la porosité. Ils sont pourtant indissociables pourobtenir la restructuration du matériau. Diverses expériences ont été conduites pour essayerde séparer l’effet du plasma de celui de la température. Le tableau 2.8 récapitule les différentesconditions qui ont été testées et les résultats obtenus. Dans le cas d’un traitement par latempérature seule, le film ne gonfle pas mais il présente une faible porosité, homogène dansle film, de l’ordre de 6%. Cette valeur correspond à ce qui peut être mesuré sur un film SiOC

58

Page 71: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.2. ÉTUDE DU PHÉNOMÈNE DE RESTRUCTURATION

Tableau 2.8 – Éxpérimentations autour du procédé de restructuration.

Type Détail Résultat(s) important(s) Commentaire

Recuit seul 35C Aucune variation Mesure référence pour

le traitement plasma à 35C

400C Épaisseur constante Film homogène en épaisseur

Indice de réfraction diminue Moins de 10%

Porosité de 6%, rmoy = 0,8 nm Forme de type cratère vertical

Plasma seul 35C Épaisseur diminue Film inhomogène en épaisseur

Indice de réfraction augmente Moins de 5%

Aucune porosité

Plasma puis recuit Décollement sous forme Aucune mesure possible

de cloques locales du film

Traitement standard Plan d’expérience factoriel fractionnaire 26−2

(détaillé en annexe A)

dense à la différence que la taille des pores est dans le cas du procédé Orion légèrementplus importante. Ce ne sont plus des micro-pores avec un rayon inférieur à 0,5 nm qu’il estpossible d’assimiler à du volume libre induit par la présence de groupements méthyles dansla structure de l’oxyde. Ce sont des micro-pores provenant du phénomène de restructurationavec un rayon moyen de 0,8 nm que l’on retrouve dans le film qui a subi le procédé standardde restructuration. En effet, dans ce cas, l’absence du plasma induisant une couche dense ensurface ne permet pas de maintenir les déformations de la structure cage les plus importantes,qui sont les plus fragiles, mais seulement celles qui ont une déformation mineure, c’est à direcelles donnant lieu à la micro-porosité. Dans le cas du traitement plasma seul, le film estdensifié sans former aucune porosité avec une réduction de l’épaisseur par rapport au filmaprès l’étape de dépôt. Enfin, dans le cas d’une séparation des deux étapes, l’enchaînementtraitement plasma à température ambiante puis recuit à 400C conduit à chaque fois àun décollement local du film. Cela confirme que la restructuration a besoin à la fois de latempérature et du plasma d’hydrogène. Enfin, un plan d’expérience autour des paramètresprincipaux du procédé a été mené (détails du plan donnés en annexe A). Il a permis demettre en évidence la nécessité d’avoir une puissance lors de la première étape du traitementplasma qui soit relativement réduite pour éviter un décollement entre le film et le substrat.De plus, le temps de la première étape et le temps total de traitement n’ont que peu d’effetsur la structure poreuse finale du film. En revanche, le temps de traitement de la deuxièmeétape ne doit pas être trop réduit pour ne pas dégrader les performances mécaniques.

L’ensemble de ce travail a permis de mieux comprendre la structure du film et de formulerdes hypothèses sur la formation de cette structure. Nous allons voir maintenant quelles sontles propriétés des films SiOC poreux ainsi obtenus par cette méthode de restructuration dela matrice.

59

Page 72: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

2.3 Caractéristiques du film Orion

Du fait du caractère poreux et gradé du matériau, le film Orion a nécessité une attentionparticulière lors des caractérisations pour permettre d’obtenir les propriétés du film.

La détermination de la plupart des propriétés du film, notamment la permittivité re-lative, nécessite de connaître au préalable l’épaisseur du film. Cette première mesure, quidoit être non destructive et non invasive, utilise classiquement l’ellipsométrie spectrosco-pique en lumière blanche. À partir d’un modèle, il est ensuite possible de simuler la mesureellipsométrique. La concordance entre mesure et simulation permet de déterminer l’épais-seur du film et son indice de réfraction. Cette méthode offre l’avantage avec des modèlessimples de permettre une mesure d’épaisseur sur un substrat de silicium. Dans le cas dufilm Orion, la présence d’un gradient de densité très marqué empêche d’utiliser les modèlesclassiques. Il a donc été nécessaire de développer un modèle tenant compte de sa structurepour pouvoir mesurer de façon précise l’épaisseur du film. Une première solution qui a fourni

Couche dense

Modèle Réel

Indice

de réfra

ction

Epaisseur

Couche poreuse

(a) Modèle schématique bicouche homogène.

Couche gradée

Modèle Réel

Indice

de réfra

ction

Epaisseur

Couche poreuse

(b) Modèle schématique bicouche à gradient.

Figure 2.12 – Modèles utilisés pour la mesure d’épaisseur du film Orion par ellipsométriespectroscopique.

des résultats satisfaisants mais loin de la réalité physique du film a été de décrire le filmcomme deux couches avec deux indices de réfraction séparés, chaque couche étant homogène(figure 2.12(a)). Le problème de ce modèle provient du nombre important de paramètres quisont ajustables et qui rendent le modèle instable. Pour s’approcher de la structure réelle dufilm, un deuxième modèle plus précis a été développé [Cha04]. Dans ce cas le film garde unestructure bicouche mais la couche supérieure n’est pas homogène (figure 2.12(b)). L’objectifest de représenter le plus fidèlement possible la structure poreuse du film. Pour cela, la pre-mière couche représente la zone la plus poreuse par un film poreux homogène avec un tauxde porosité fixe et donc un indice de réfraction équivalent fixe. Ensuite, la deuxième coucheest un gradient, de type linéaire, pour limiter le temps de calcul lors de la mesure, partant dela valeur d’indice de réfraction de la couche précédente jusqu’à une valeur proche d’un filmde type SiOC dense. Cette couche reprend le modèle de loi des mélanges de type Bruggeman

60

Page 73: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.3. CARACTÉRISTIQUES DU FILM ORION

présentée précédemment qui représente le film comme un mélange de vide et de matière (avecun indice de réfraction donné). Cette méthode a permis d’obtenir une mesure d’épaisseurpar ellipsométrie spectroscopique fiable qui est utilisée pour les autres caractérisations.

2.3.1 Relation structure-épaisseur

La détermination de l’épaisseur et de l’indice de réfraction du film a permis de mettre enévidence une caractéristique importante du procédé Orion : le lien entre l’épaisseur du filmet sa structure. À partir des mesures ellipsométriques, l’indice de réfraction du film varieavec une valeur moyenne qui diminue lorsque l’épaisseur de la couche augmente. En effet,la valeur d’indice de réfraction de la zone poreuse diminue lorsque l’épaisseur augmente, cequi illustre un plus grand taux de porosité lorsque le film est plus épais (courbe noire dela figure 2.13). Cela se traduit par une proportion de vide dans le modèle de Bruggemanndu côté de la zone poreuse plus importante pour les films plus épais (courbe bleue de lafigure 2.13). Ce lien entre épaisseur et structure provient du processus de formation du film.

200 300 400 500 600 7001,200

1,225

1,250

1,275

1,300 Couche Poreuse Début de la couche gradée

Epaisseur du film (nm)

Indice

de réfra

ction

0,40

0,45

0,50

0,55

0,60

Proportion de vide

Figure 2.13 – Variations des paramètres du modèle bicouche à gradient en fonction del’épaisseur du film.

En effet, pour obtenir un film stable et éviter le décollement du substrat, il est nécessaired’accroître la durée du recuit assisté par plasma d’hydrogène lorsque le film après dépôt estplus épais. Par exemple, le film d’épaisseur finale 600 nm requiert une étape de recuit deuxfois plus longue que le film d’épaisseur finale 300 nm. Avec un film après l’étape de dépôtplus épais, les effets du plasma et de la restructuration lors de la deuxième étape sont plusmarqués. La couche dense est plus épaisse, et la zone poreuse est plus étendue. De plus,comme le degré de liberté pour la restructuration est plus important, cela conduit à un tauxde porosité plus important.

Pour confirmer les mesures du modèle ellipsométrique, le taux de carbone global, la den-sité et le taux de porosité du film ont été mesurés pour différentes épaisseurs finales. Le tauxde carbone, présent dans le matériau, est mesuré par une technique d’analyse de réaction

61

Page 74: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

nucléaire (NRA : Nuclear Reaction Analysis). Cela consiste à détecter les protons émis lorsd’une réaction nucléaire créée entre un faisceau de deutons incidents 2H+ et les noyaux desatomes cibles de carbone 12C et 13C. La quantification du résultat s’obtient par comparaison,pour une même charge reçue, des pics dus au carbone sur les échantillons et sur une référence(de type substrat de silicium implanté avec une dose connue). La figure 2.14(a) représentela variation de la quantité de carbone présente dans le film en fonction de l’épaisseur decelui-ci, ce taux est exprimé en nombre d’atomes par unité de surface. Il apparaît clairementqu’une augmentation de l’épaisseur induit une augmentation du taux de carbone. Basée surle lien entre présence de carbone et porosité qui a été présenté à la section précédente, cettetendance illustre que plus le film Orion est épais et plus il semble poreux.

100 200 300 400 500 600

2x1017

3x1017

4x1017

5x1017

at[C

].cm-2

Epaisseur du film (nm)

(a) Variation du taux de carbone du film Orion enfonction de son épaisseur.

200 400 600 800 10000,85

0,90

0,95

1,00 Onde Acoustique Réflectivté X

Den

sité

Epaisseur du film (nm)

(b) Relation entre densité et épaisseur du film.

Figure 2.14 – Evolution de paramètres de structure du film Orion en fonction de sonépaisseur.

Pour déterminer la densité, deux méthodes ont été utilisées : la réflectivité de rayons X et laspectroscopie d’ondes acoustiques de surface générées par laser. La première technique per-met de déterminer la densité du film en fonction de l’angle de la mesure. Le point d’inflexionentre la zone de réflectivité totale et la décroissance, appelé angle critique, permet de re-monter à cette valeur de densité, c’est l’angle pour lequel le faisceau incident commence àpénétrer dans le film. La détermination de cet angle est rendue délicate en l’absence d’un pla-teau de réflectivité net et d’une rupture franche à cause de la structure gradée du film Orion.Pour la deuxième technique, la mesure de la vitesse de propagation d’une onde acoustiquede surface permet de remonter à la mesure de densité du film par contraste avec le substratde silicium. Cette deuxième technique est moins sensible au gradient du film tant que lecontraste est suffisamment grand avec le substrat. Les mesures (figure 2.14(b)) montrentque l’augmentation de l’épaisseur du film induit une diminution de sa densité globale. Lavariation observée pour le film Orion en fonction de l’épaisseur est relativement faible enterme de valeur. Cependant, si l’on considère que la densité de la matrice reste constantequelque soit l’épaisseur, puisque le procédé ne change pas, cette variation de densité provientprincipalement d’une augmentation du taux de porosité. Cela confirme les mesures obtenues

62

Page 75: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.3. CARACTÉRISTIQUES DU FILM ORION

par ellipsométrie. Le taux de porosité donné à la section précédente de 30% avait été mesurésur un film de 300 nm d’épaisseur. Une mesure, en utilisant le même protocole par ellipso-métrie porosimétrique, a été réalisée sur un film de 600 nm d’épaisseur. Le taux de porositéaugmente pour atteindre la valeur de 39%, ce qui confirme la mesure de densité. Il est ànoter que l’épaisseur minimum à retirer pour pouvoir réaliser la mesure de porosité est deuxfois plus importante que pour le film de 300 nm mais la proportion de cette zone dense surl’épaisseur totale du film reste de 15%. Enfin, à partir de ces deux mesures, il est possiblede déterminer la densité du squelette qui compose le film Orion. Ce calcul est évidemmentune valeur moyenne qui tient compte de la partie poreuse (squelette de type SiOC densedéformé) et de la partie gradée plus dense en allant vers la surface du film (squelette typeSiOC dense et SiOx en proche surface). Cela donne une valeur de 1,37 g.cm−3 pour le filmde 300 nm d’épaisseur et une densité de 1,52 g.cm−3 pour le film de 600 nm d’épaisseur. Cesvaleurs sont dans la gamme mesurées pour les films SiOC denses (voir tableau 1.3, page 15).L’ensemble de ces mesures met clairement en évidence le lien entre structure et épaisseur dufilm. Il est donc important de tenir compte de l’épaisseur de la couche qui est caractériséepour déterminer les propriétés du film Orion.

2.3.2 Propriétés du film

Les propriétés électriques ont été mesurées à partir de courbes de capacité et d’intensitéen fonction de la tension appliquée : C(V) et I(V). Ces mesures sont obtenues en plaçantla couche diélectrique et son substrat entre une électrode formée par une goutte de mercureet le support métallique de l’équipement qui sert d’électrode inférieure (cette technique estnommée goutte de mercure). La mesure de capacité permet de remonter à la permittivitérelative du film à la fréquence de 0,1 MHz, ce qui permet de solliciter l’ensemble des pola-risations microscopiques du film. Lors de la mesure C(V), la capacité est déterminée dansle régime d’accumulation. Pour cela, l’électrode de mercure est polarisée négativement. Celapermet d’attirer les charges positives présentes dans le substrat de silicium dopé p à l’inter-face avec le film diélectrique. Le substrat devient l’électrode inférieure, et seule la capacitéde la couche diélectrique est alors mesurée. Les courbes I(V) permettent de déterminer latension de claquage et le courant de fuite du film. À partir de l’épaisseur du film et de lataille de la goutte de mercure, il est possible de déterminer la densité de courant de fuiteet le champ de claquage. Ces valeurs sont nécessaires pour pouvoir comparer les propriétésd’isolation de différents matériaux. Le tableau 2.9 présente les résultats obtenus pour deuxépaisseurs différentes. La variation du taux de porosité en fonction de l’épaisseur du film à

Tableau 2.9 – Caractéristiques électriques du film Orion déterminées par goutte de mercure.

Épaisseur Permittivité Densité de courant de fuite Champ de claquage

(nm) relative à 1 MV.cm−1 (A.cm−2) (MV.cm−1)

300 2,4 2,8.10−9 6,9

600 2,28 9,6.10−10 6,4

63

Page 76: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

un impact direct sur la permittivité relative. Le film de 300 nm d’épaisseur, valeur proche decelle requise pour l’intégration dans une structure double damascène pour la génération 45nm, présente une constante diélectrique de 2,4. Cette valeur diminue pour le film de 600 nmd’épaisseur comme il est plus poreux. Le champ de claquage est faiblement modifié quandl’épaisseur du film change, l’écart étant proche de la limite de significativité. Pour la densitéde courant de fuite, l’écart devient significatif avec une valeur divisée par trois pour le filmle plus épais. Le film le plus poreux offre une meilleure résistance à la propagation des cou-rants de fuite. En effet, en réduisant la quantité de matière par unité de surface avec un filmmoins dense, le nombre de chemins de conduction possibles se réduit pour faire transiter lescourants de fuite au travers du film, ce qui améliore ses performances d’isolant. Il apparaîtainsi que l’augmentation du taux de porosité dans un film favorise une bonne résistance auxcourants de fuite.

À partir de la mesure du taux de porosité et de la permittivité relative, il est possiblede comparer le film Orion avec d’autres matériaux SiOC poreux. La figure 2.15 représentele taux de porosité en fonction de la permittivité relative pour différents films : SiOC dense,SiOC poreux obtenus par différents procédés de dépôt. Le lien entre ces deux propriétésapparaît ainsi clairement. En ce qui concerne le film Orion, deux épaisseurs du film sontreprésentées, le film de 300 nm et celui de 600 nm. Dans les deux cas, le procédé Orionsuit la même loi liant porosité et permittivité relative. Cette évolution linéaire indique quel’ensemble de ces films présente globalement une matrice relativement proche de celle du filmSiOC dense. En effet, malgré des différences importantes dans les procédés de fabrication etles structures qui en résultent (notamment pour l’Orion), le taux de porosité nécessaire pouratteindre une permittivité relative donnée est à peu près le même.

3,0 2,8 2,6 2,4 2,2 2,00

10

20

30

40

50

SiOC dense Approche porogène Film Orion Dépôt spin-on

Poros

ité (%

)

Permittivité relative

Figure 2.15 – Relation entre taux de porosité et permittivité relative pour les films SiOCporeux.

64

Page 77: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.3. CARACTÉRISTIQUES DU FILM ORION

La stabilité du film a été testée au travers de plusieurs caractérisations. Tout d’abord,plusieurs paramètres ont été suivis sur 2 mois de vieillissement du film (figure 2.16(a) àfigure 2.16(c)), ce dernier étant placé en salle blanche dans une boîte standard sans précau-tion particulière au niveau de l’atmosphère de stockage.

0 10 20 30 40 50

545

550

555

560

420

425

430

435

150

155

160

165

Film de 560 nm Film de 440 nm Film de 170 nm

Epa

isse

ur (n

m)

Temps (jours)

(a) Évolution de l’épaisseur du film par vieillis-sement en salle blanche.

1000 2000 3000 4000

0,00

0,05

0,10

0,15

0,20

3400 3500 3600 3700 3800 3900

0,0000

0,0001

0,0002

0,0003

0,0004

0,0005

Abs

orba

nce

(u.a.)

Nombre d'onde (cm-1)

T0

T0 + 60 jours

(b) Spectres FTIR du film lors du vieillissementen salle blanche.

0 10 20 30 40 50 600,00

0,01

0,02

0,03

0,04

0,05

0,06

Aire

du pic Si-O

H (u

.a.)

Temps (jours)

(c) Évolution de l’aire du pic OH mesuré parFTIR lors du vieillissement en salle blanche.

1000 2000 3000 40000,00

0,05

0,10

0,15

0,20

0,25

Abs

orba

nce

(u.a.)

Nombre d'onde (cm-1)

Après dépôt Après étuvage Après recuit

Pic CO2

(d) Spectres FTIR pour le test de reprise d’hu-midité.

Figure 2.16 – Influence du vieillissement sur les caractéristiques du film Orion.

La figure 2.16(a) présente l’évolution de l’épaisseur du film en fonction du temps de vieillis-sement. Cette mesure étant effectuée par ellipsométrie spectroscopique, une vérification dudécalage des spectres de mesure a été faite pour confirmer que cette variation provenaitdu changement d’épaisseur du film et non d’un artefact dû à la technique. La mesure aété réalisée pour trois épaisseurs différentes. Pour comparer les évolutions, les trois courbessont représentées sur la même figure avec trois échelles décalées mais identiques en termede plage de variation. Ainsi, l’épaisseur du film diminue légèrement avec le temps. Ce phé-nomène est plus marqué pour une épaisseur de départ plus grande : l’épaisseur varie de3,2% pour le film le plus épais et seulement 1,4% pour le film le plus fin. Ce comportementsemble indiquer que le film se stabilise après le procédé de dépôt. Les spectres FTIR de lafigure 2.16(b) montrent que seulement deux pics évoluent avec le temps. Dans la zone autour

65

Page 78: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

de 3000 cm−1 qui correspond aux liaisons C-Hx, deux pics au delà de 3000 cm−1, présentsaprès dépôt, disparaissent avec le temps. Ils peuvent être attribués à des doubles liaisonscarbone-carbone qui proviennent de sous-produits des réactions du plasma d’hydrogène avecle film et qui ont été piégés lors de la formation de la partie dense en surface du film. Cephénomène de désorption est accéléré si le film subit un traitement en température aprèsdépôt. Le deuxième pic qui varie avec le temps est celui de la liaison Si-OH vers 3650 cm−1.Dans ce cas, le phénomène inverse est observé : plus le temps de vieillissement augmente etplus l’aire de ce pic augmente (encart figure 2.16(b)). Cela correspond à une reprise d’hu-midité du film. En représentant cette aire en fonction du temps (figure 2.16(c)), la courbemontre deux comportements. Une première évolution rapide qui correspond certainementà l’adsorption d’eau en surface du film. La seconde évolution est plus lente et correspondprobablement à une diffusion de l’espèce acqueuse dans le film. Ce deuxième phénomène estfortement ralenti par la présence de la couche dense en surface et la présence de liaisonshydrophobes type Si-H dans le film. Cette reprise d’humidité est très faible même pour destemps de vieillissement importants. Pour confirmer ce comportement vis à vis de l’eau, untest de reprise d’humidité a été mis en place. Ce test est beaucoup plus agressif pour lefilm : l’échantillon est soumis à une atmosphère humide (85% de taux d’humidité) à 85Cpendant 185 heures. La permittivité relative et la signature infrarouge sont mesurées avantet après le test, ainsi qu’après un recuit de restauration de 30 minutes à 425C. Les différentsspectres infrarouges sont comparés sur la figure 2.16(d). Les résultats électriques sont présen-tés dans le tableau 2.10. L’atmosphère humide favorise la reprise d’humidité du film puisquela permittivité relative est dégradée et le spectre FTIR présente un pic Si-OH légèrementplus marqué que la référence. Cependant le recuit permet de retrouver les caractéristiquesdu film avant étuvage, illustrant le caractère réversible de ce phénomène de contamination.Cette bonne tenue à la reprise d’humidité provient à la fois de la couche dense en surface etdu caractère hydrophobe des liaisons Si-H formées par le plasma lors de l’étape de recuit.

Tableau 2.10 – Résultats électriques du film Orion pour le test de reprise d’humidité.

Après dépôt Test d’humidité Recuit de restauration

/ (85%, 85C, 185 h) (425C, 30 min.)

Permittivité relative 2,4 2,7 2,43

Densité de courant de fuite2,8.10−9 3,2.10−9 2,9.10−9

(A.cm−2 à 1 MV.cm−1)

Champ de claquage6,9 7,3 7,2

(MV.cm−1)

Deux autres propriétés importantes ont été mesurées sur le film Orion. La première estla conductivité thermique. Ce paramètre est important car l’isolant pour les interconnexionsoccupe la majeure partie du volume qui encapsule les transistors. En fonctionnement, lesystème chauffe et il est important de pouvoir évacuer cette énergie car les performancesdes dispositifs sont dépendants de la température. De plus, un circuit fonctionnant à plus

66

Page 79: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.3. CARACTÉRISTIQUES DU FILM ORION

haute température à cause d’une mauvaise dissipation de la chaleur risque d’avoir une duréede vie réduite. Les technologies actuelles offrent un excellent moyen de dissiper la chaleurdu circuit malgré l’isolant grâce aux lignes de cuivres. Cependant, l’arrivée des films po-reux risque de contrebalancer cet avantage car l’air est un très bon isolant thermique etdonc un très mauvais dissipateur de chaleur. Il est donc important de suivre l’évolution decette propriété avec l’introduction de porosité dans les films SiOC. La conductivité ther-mique peut être obtenue par différentes techniques dans un environnement plus ou moinscomplexe [Che04, Che06, Cos02, Del03]. Dans notre cas, la méthode 3 ω a été utilisée. Elleest décrite précisément par [Del03], qui l’a utilisée pour évaluer la conductivité thermiquesur une ancienne version du film Orion qui présentait une structure moins stable. De façonsimple, une électrode métallique est déposée sur le film à mesurer. L’application d’un courantalternatif à la fréquence ω dans l’électrode chauffe par effet Joule l’échantillon qui modulela résistance du conducteur par les ondes thermiques qui se propagent dans le matériauavec une fréquence 2 ω. La variation de tension le long de l’électrode contient une troisièmeharmonique (d’où le terme 3 ω) qui permet de déterminer la conductivité thermique dufilm. Le film Orion avec une épaisseur de 300 nm présente une conductivité thermique de0,209 W.m−1K−1. Si l’on représente cette conductivité thermique en fonction du taux deporosité (figure 2.17), pour différents matériaux SiOC déposés par PECVD, la conductivitéthermique est dégradée dès lors que le film est poreux. Ce paramètre risque donc de devenircritique pour les générations avancées.

0,0 0,1 0,2 0,3 0,40,1

0,2

0,3

0,4

Approcheporogène

Con

ductivité

thermique

(W.m

-1K

-1)

Taux de porosité

Orion (non porogène)

SiOC dense

Figure 2.17 – Conductivité thermique mesurée pour différents films diélectriques en fonctiondu taux de porosité.

La deuxième propriété est le coefficient de dilatation thermique. Cette mesure est obtenuepar suivi de l’épaisseur du film en fonction de la température, dans une plage allant de 25à 200C. La valeur obtenue correspond au coefficient de dilatation du film selon la normaleau substrat en considérant que ce substrat ne se dilate pas et que le film est suffisammentmince pour négliger les déformations dans le plan du film. Le film Orion présente ainsi un

67

Page 80: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

coefficient de dilatation thermique de 30 ppm.C−1. Cette valeur est relativement faible parrapport à des matériaux tels que le SiLK. En effet, sa valeur, proche de 70 ppm.C−1, a étél’un des problèmes majeurs pour son intégration. Cependant, cette valeur reste deux foisplus importante que celle du cuivre et les contraintes induites par cet écart seront à prendreen compte pour les dimensions les plus faibles. Il est à noter que cette mesure permet dedéterminer la contrainte résiduelle thermo-élastique induite par le procédé à 400C. Celle-cidoit être proche de la valeur mesurée par variation du rayon de courbure du substrat due aurecuit. En effet, la contrainte résiduelle totale est la somme de la contribution de la contrainteprovenant du désaccord de maille entre substrat et film déposé et de la contribution dudésaccord de coefficient de dilatation thermique [Fre03]. La première contribution peut êtreconsidérée comme nulle puisque le film déposé est amorphe. La contrainte thermo-élastique,σte, est donnée par :

σte =Efilm

1− νfilm

25

400

(αfilm − αsubstrat)dT (2.7)

avec Efilm le module de Young du film (inférieur à 10 GPa), νfilm le coefficient de Poisson(pris égal à 0,15), αfilm le coefficient de dilatation du film et αsubstrat celui du substrat desilicium (proche de 2,5 ppm.C−1). A partir de ces valeurs, on obtient une valeur de l’ordrede 20 MPa. Ceci est en bon accord avec la contrainte résiduelle obtenue par mesure du rayonde courbure qui donne une valeur proche de 30 MPa (sachant que l’incertitude sur cettemesure est de plus ou moins 20 MPa). Le film Orion présente un coefficient de dilatationthermique élevé mais les propriétés mécaniques de ces films poreux sont faibles ce qui permetd’avoir un film avec une contrainte résiduelle très faible.

Les propriétés mécaniques restent encore à déterminer pour l’évaluation des caractéris-tiques du film Orion. Ce sont les propriétés les plus critiques des films SiOC poreux. Eneffet, la porosité joue un rôle néfaste important sur ces caractéristiques. C’est pourquoi ellesont fait l’objet d’un travail plus approfondi présenté au chapitre 3. Nous allons voir d’abord,dans la section qui suit la faisabilité de l’intégration de ce film, et l’intérêt que peut avoir sastructure gradée dans une architecture standard.

68

Page 81: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.4. ÉTUDE DE L’INTÉGRATION DE L’ISOLANT D’INTERCONNEXION

2.4 Étude de l’intégration de l’isolant d’interconnexion

L’évaluation du film Orion poreux dans le schéma d’intégration est réalisée avec l’archi-tecture 65 nm. Elle a été développée sur la base du film SiOC utilisé pour la génération90 nm. L’intérêt de l’emploi d’un film à plus faible permittivité que le film SiOC dense, bienque poreux a déjà été démontré pour cette architecture [Fox05b]. Nous allons voir dans cettesection ce qu’implique les spécificités du film Orion pour l’intégration.

La première partie présente le détail de ce schéma d’intégration et quels sont les pointsqui ont été modifiés pour permettre l’utilisation d’un film poreux. Nous verrons ensuite lesrésultats d’intégration du film Orion et l’influence de la couche dense de surface sur lesmesures électriques.

2.4.1 Schéma d’intégration en technologie 65 nm

L’intégration d’un niveau d’interconnexion est basée sur l’architecture double damascèneavec deux masques durs, empreinte de la ligne dans le masque dur et gravure partielle duvia [Fox05a, Fox05b, Pos06]. Cette dénomination complexe correspond aux modificationsmises en œuvre sur le schéma d’intégration standard (génération 90 nm). Il permet d’offrirune approche transférable à la génération 45 nm.

Le détail du processus complet pour un niveau de métal est donné sur la figure 2.18. Ilse découpe en trois parties principales :

a. une phase de dépôt des couches nécessaires pour l’obtention du niveau (figure 2.18(a)).L’enchaînement des dépôts est réalisé comme suit :

(1) le film de départ est la barrière diélectrique qui sert d’encapsulation des lignes duniveau inférieur. Un plasma est réalisé avant ce dépôt pour éliminer l’oxyde natiféventuellement présent à la surface des lignes de cuivre,

(2) le film poreux est ensuite déposé. Un plasma est réalisé avant le dépôt pour amé-liorer l’adhésion avec la barrière diélectrique. Puis deux films sont déposés pourfaire office de masque dur : un premier film diélectrique de type SiO2, encapsuléavec un film métallique,

(3) la dernière étape est en fait une étape de lithographie. Une couche antireflet estdéposée avant l’étalement de la résine. L’ensemble est ensuite insolé à traversun masque, puis les zones exposées sont retirées pour permettre la gravure. Lemasque correspond ici aux motifs des lignes.

b. la deuxième phase correspond à la gravure des motifs dans l’empilement :

(4) la gravure des motifs de ligne est réalisée dans les deux couches de masque dur,pour former l’empreinte de la ligne. Les couches qui ont servi à transférer le motifdu masque sont retirées.

(5) puis le procédé de lithographie est repris pour faire la gravure du via. L’insolationest réalisée avec le masque des motifs de via et les zones exposées sont retirées. Celapermet d’éviter un contact direct entre la résine et l’isolant poreux. Ce contactpeut dégrader la permittivité relative du film poreux. La gravure partielle du viaest faite,

69

Page 82: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

(6) enfin la dernière étape de gravure consiste à finir la formation de la ligne et du viadans l’isolant poreux et ouvrir la barrière diélectrique sur le niveau du dessous.Un nettoyage humide est ensuite réalisé pour enlever les résidus de la gravure.

c. la dernière série d’étapes consiste à remplir les motifs par du cuivre et finaliser le niveaupour préparer la réalisation du niveau suivant :

(7) le dépôt de la barrière métallique est réalisé en premier. Cette barrière est un bi-couche TaN/Ta qui bloque la diffusion du cuivre dans le film poreux en nécessitantune épaisseur inférieure à 30 nm. Cette étape est suivie d’un premier dépôt fin decuivre par voie physique en phase vapeur qui sert de couche d’accroche pour lasuite,

(8) le remplissage des motifs par du cuivre s’effectue enfin par dépôt électrochimique.Un recuit est ensuite pratiquer pour stabiliser le cuivre et augmenter la taille desgrains pour améliorer la fiabilité,

(9) la dernière étape est le polissage mécano-chimique qui sert à planariser le niveauet retirer l’excédant de cuivre, ainsi que les couches de masque dur. Pour protégerle film poreux de la contamination de la phase liquide utilisée lors du polissage,une partie du masque dur diélectrique est laissée comme couche de protection.

La réalisation d’un niveau d’interconnexion fait intervenir de nombreuses étapes avec desprocédés très variés. Cela implique des sollicitations de tous horizons pour le film poreuxdont voici une liste non exhaustive : plasma de surface, plasma de gravure, nettoyage humide,diffusion d’espèces métalliques lors du remplissage des motifs, sollicitations mécaniques dueau polissage. De ce fait, l’emploi de films poreux comme isolant interligne a nécessité l’adap-tation des procédés de la plupart des étapes pour limiter les dégradations qu’elles peuventinfliger au film. En effet, ces dégradations conduisent à deux types de problème : une aug-mentation de la permittivité relative du film qui entraîne une dégradation des performancesélectriques du niveau, ou une dégradation d’ordre mécanique qui entraîne la rupture totaleou partielle dans la zone considérée des fonctionnalités du circuit.

Tableau 2.11 – Dimensions de la structure d’interconnexion au niveau métal 2 pour l’ar-chitecture 65 nm.

Largeur de ligne Espace interligne Épaisseur Facteur de forme

(au minimum en nm) (nm)

Métal 100 100 220 2,2

Via 100 100 160 3,8

Dans cette architecture 65 nm, l’évaluation du film Orion a été réalisée au niveau métal2 qui présente les dimensions indiquées dans le tableau 2.11. Ces dimensions sont relâchéespar rapport à la génération à laquelle est destinée le film Orion évalué. Cependant, il permetla comparaison avec le film poreux obtenu par l’approche porogène (donc homogène) et leseffets des différentes étapes sur ce film sans interférence provenant de la stabilité de cesprocédés.

70

Page 83: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.4. ÉTUDE DE L’INTÉGRATION DE L’ISOLANT D’INTERCONNEXION

(a) Étapes de dépôt des couches nécessaires pour la réalisation du niveau métal 2.

(b) Empreinte de la ligne dans les masques durs puis gravure partielle du via et enfin gravure finale de lastructure.

(c) Remplissage des motifs et polissage mécano-chimique pour terminer le niveau.

Figure 2.18 – Schéma d’intégration de l’approche double damascène avec masque dur,empreinte de la ligne dans le masque dur et gravure partielle du via [Fox05a, Pos06].

71

Page 84: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

2.4.2 Intégration d’un matériau à très faible permittivité

Le film qui servira de référence pour les mesures électriques est celui de l’approche poro-gène. Il présente après dépôt une permittivité relative de 2,5. Deux films Orion sont comparésà cette référence. Le premier est le film caractérisé dans ce chapitre qui présente une per-mittivité relative de 2,4. Une deuxième version, basée sur ce film a été évaluée avec unepermittivité relative de 2,2. Pour atteindre cette valeur, une partie de la couche dense a étéretirée avec un traitement de gravure développée spécialement pour éviter la dégradation dela structure poreuse (le détail de cette étape est donné dans le tableau 3.7, page 125). Cesdeux approches permettent d’obtenir une première évaluation de notre matériau et de testerles étapes d’intégration sur des films dont les propriétés mécaniques sont bien inférieures àcelles de la référence (voir le chapitre 3).

Le film Orion a été déposé au niveau du métal 2 pour évaluer une structure complète ligneet via (le niveau métal 1 ne possède pas de via car il est relié aux transistors sous-jacents pardes plots en tungstène). Le procédé standard a été ensuite réalisé sur deux niveaux suivantsavec l’isolant poreux de référence. Un niveau épais (dimensions relâchées par rapport auniveau 2), avec l’oxyde de silicium comme isolant, a ensuite été réalisé puis les contacts enaluminium et l’encapsulation de protection de la puce. Les structures de test électrique duniveau métal 2 sont évaluées après la réalisation de chacun des niveaux supérieurs présentésplus haut.

(a) Structure peigne-serpentin. (b) Structure peignes interdigités.

Figure 2.19 – Structures de test électrique utilisées pour déterminer les performances d’unniveau d’interconnexion.

Ces structures de test sont basées sur deux motifs. Le premier correspond à deux peignesenserrant un serpentin (figure 2.19(a)). Cette structure permet de mesurer le courant defuite entre le serpentin et les peignes en appliquant un potentiel entre ceux-ci et en mesurant

72

Page 85: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.4. ÉTUDE DE L’INTÉGRATION DE L’ISOLANT D’INTERCONNEXION

le courant dans le serpentin. La valeur du courant de fuite est exprimée en logarithme del’intensité du courant circulant dans le serpentin. Le serpentin permet aussi d’avoir la mesurede la résistance de la ligne exprimée en résistance carré, mesure standard ramenée à unesurface unité. La deuxième structure est de type peignes interdigités (figure 2.19(b)). Cettestructure permet de déterminer la capacité de couplage entre les lignes pour caractériser lesperformances du niveau. Elle est exprimée en farad par unité de longueur de conducteur.Pour obtenir une mesure précise, la structure se compose d’une succession de 10 peignesinterdigités orientés à 90 les uns par rapport aux autres. Chaque structure de test estmesurée sur 17 puces d’une même plaque pour obtenir une répartition statistique de lamesure sur l’ensemble de la surface. Pour augmenter cette statistique, chaque matériauisolant est déposé sur 3 plaques pour obtenir une population de mesure de 51 points. Lesrésultats des mesures sont ainsi présentés sous la forme de probabilité cumulée du taux decircuit atteignant la valeur indiquée.

La figure 2.20(a) présente la mesure de la résistance pour les trois types d’isolant : le filmréférence avec une permittivité relative de 2,5, le film Orion standard avec une permittivitéde 2,4 et le film Orion gravé (retrait d’une partie de la couche dense) avec une permittivitérelative de 2,2. En considérant que la partie métallisation des lignes est la même dans lestrois cas, la mesure de la résistance doit être identique pour les trois isolants. Cela n’estpas le cas, les films Orion présentent une résistance plus élevée que le film référence. Ceciindique une section de ligne plus faible pour les films inhomogènes. Cette variation de sectionpeut venir soit d’une largeur de ligne réduite, à cause d’une gravure moins efficace dansle cas du film Orion, soit d’une hauteur réduite, provenant d’un polissage plus importantou d’une épaisseur de dépôt du film poreux au départ plus faible. La mesure du courantsde fuite montre que les valeurs pour le film référence et le film Orion 2,4 sont identiques(figure 2.20(b)). En revanche, le film Orion 2,2 présente des courants de fuite très élevés, au

100 120 140 160 180 2000

20

40

60

80

100

Proba

bilité cu

mulée

(%)

Résistance (m )

Référence 2,5 Orion 2,4 Orion 2,2

(a) Mesure de la résistance dans une structurepeigne serpentin avec une largeur de ligne et un es-pace entre ligne de 100 nm.

-12 -10 -8 -6 -4 -20

20

40

60

80

100

Proba

bilité cu

mulée

(%)

Courant de fuite (log(I(A))

Référence 2,5 Orion 2,4 Orion 2,2

(b) Mesure du courant de fuite dans une structurepeigne serpentin avec une largeur de ligne et un es-pace entre ligne de 100 nm.

Figure 2.20 – Caractéristiques électriques obtenues avec la structure peigne serpentin pourdifférents films isolants.

73

Page 86: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

delà de la spécification requise pour cette structure qui est de -6 en valeur logarithmique. Celasignifie que la structure n’est pas viable. Ce problème se retrouve sur l’ensemble des structuresde test qui ont les dimensions les plus faibles (espace entre ligne de 100 nm), notamment lesstructures peignes interdigités (figure 2.21(a)). Pour pouvoir comparer les trois matériaux, ilfaut donc évaluer la résistance et la capacité de couplage pour une structure relâchée, avec unespace entre ligne de 120 nm (la largeur de ligne reste constante). La figure 2.21(b) représentela résistance en fonction de la capacité pour cette structure. Cette courbe permet de tenircompte d’une variation de section des lignes entre les différents films. En traçant un iso-RC(courbe pointillée), on représente un couple résistance-capacité pour une infinité de variationde section. Ainsi, les films Orion présentent une capacité plus faible que le film référence maisaussi une résistance plus forte et se trouvent sur le même iso-RC que la référence. Il n’y a doncpas de gain sur la capacité de couplage, car la variation observée provient d’une différencede section de ligne. Ceci s’explique pour le film Orion de permittivité relative égale à 2,4car l’écart avec la référence est trop faible pour observer un effet sur les performances ducircuit. En revanche pour l’autre film Orion avec une permittivité relative après dépôt plusfaible, la différence disparaît certainement à cause des procédés d’intégration qui dégradentles performances de ce film poreux.

0 20 40 60 80 100 1200

20

40

60

80

100

Proba

bilité cu

mulée

(%)

Capacité (aF/µm)

Référence 2,5 Orion 2,4 Orion 2,2

(a) Mesure de la capacité de couplage dans unestructure peignes interdigités avec une largeur deligne et un espace entre ligne de 100 nm.

40 60 80100

120

140

160

180

200

Rés

istanc

e (m

/)

Capacité (aF/µm)

Référence 2,5 Orion 2,4 Orion 2,2

(b) Courbe RC à partir des structures relâchées avecun espace entre ligne de 120 nm.

Figure 2.21 – Performances électriques des différents films isolants avec la structure peignesinterdigités.

Pour comprendre ces variations, les différents isolants ont été caractérisés après gravure(figure 2.22). L’observation des motifs de lignes gravées dans le film isolant poreux par MEBen vue de dessus met en évidence la rugosité induite par le procédé de gravure sur le fonddes lignes qui doivent être ensuite remplies de cuivre. Le matériau de référence présenteune structure homogène en épaisseur à l’inverse du film Orion qui possède une zone deporosité maximale dans le premier tiers de l’épaisseur. Ceci a une répercution immédiatesur le profil du fond des lignes. Dans le cas du film de référence, la rugosité induite parle procédé de gravure sur le fond des lignes est faible (figure 2.22). Avec le film Orion 2,4,

74

Page 87: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.4. ÉTUDE DE L’INTÉGRATION DE L’ISOLANT D’INTERCONNEXION

Figure 2.22 – Vue de dessus par MEB de motifs après gravure de la ligne en fonction del’isolant poreux utilisé.

cette rugosité augmente légèrement comme le montre les photos MEB en vue de dessus. Enrevanche, pour le film Orion 2,2, cette rugosité est très marquée et couplée à une taille depore très importante dans la zone de fond de ligne (figure 2.22). Avec un tel profil, le dépôtde la barrière métallique et le remplissage du cuivre ne peut se faire convenablement et c’estpourquoi les résultats électriques ne sont pas intéressants par rapport au film de référence.Ceci met en lumière le défaut majeur du film Orion, sa non homogénéité en profondeur, quicomplique son intégration du point de vue de la gravure.

Cette analyse a été poursuivie après intégration complète avec une coupe MET dans lesmotifs peignes interdigités (figure 2.23). Ces coupes mettent en évidence une sur-gravurelatérale des lignes dans le film Orion à cause de son inhomogénéité en profondeur. De plus,l’épaisseur des films Orion lors de l’intégration est bien inférieure à celle du film de référence.C’est cet écart qui explique les variations observées sur la capacité de couplage et la résistancede ligne entre les films Orion et le film référence. En revanche, les structures sont parfaitementdéfinies et le matériau poreux ne présente pas de dégradation de la structure.

L’ensemble de ces résultats montrent que l’intégration d’un matériaux avec une permit-tivité relative très faible est réalisable dans le cas de l’approche Orion. Cependant, la miseau point des procédés, notamment de gravure, est requise pour permettre de bénéficier dela baisse de permittivité relative de l’isolant poreux sur les performances du circuit.

75

Page 88: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

Figure 2.23 – Coupes MET dans la structure peignes interdigités.

2.4.3 Solution pour réduire l’oxyde résiduel du masque dur

Si l’aspect inhomogène du film Orion rend sa gravure délicate, la couche dense de surfacepeut être un avantage du point de vue de l’architecture envisagée pour l’intégration. Eneffet, avec le film référence de l’approche porogène, il est nécessaire d’utiliser un masque durd’oxyde de 100 nm d’épaisseur pour limiter la contamination lors de l’étape de lithographiedu niveau via. L’intérêt de l’Orion résiderait donc dans la possibilité de remplacer une partiede cet oxyde par la couche dense du film qui présente une permittivité relative bien inférieureà celle de l’oxyde du masque dur. Cela permettrait de réduire la permittivité effective duniveau tout en maintenant une protection de la zone poreuse de l’isolant lors de l’intégration.

Pour évaluer cette hypothèse, un film Orion plus épais a été mis au point qui possède lesmêmes caractéristiques que le film Orion 2,4. Son épaisseur pour l’intégration est de 380 nm,ce qui permet de réduire l’épaisseur d’oxyde du masque dur à 50 nm (figure 2.24(a)). Cetempilement a été comparé à la structure standard composée soit du film référence, soit dufilm Orion 2,4 en épaisseur standard (330 nm). Les étapes d’intégration restent identiques àcelles présentées précédemment, ainsi que les structures de test et méthodes d’analyses.

En prenant les résultats de capacité de couplage et de résistance pour le motif avec lesdimensions les plus faibles (espace entre ligne de 100 nm), la valeur moyenne du produit RCsur 51 points de mesure, pour le film Orion avec une épaisseur d’oxyde réduite, offre un gainde 0,5% par rapport au film de référence. Il semble donc que cette approche permettent deréduire la permittivité effective globale de la structure. Cependant, en traçant la résistanceen fonction de la capacité pour l’ensemble des points de mesure (figure 2.24(b)), il apparaîtque ce gain est négligeable au vu de la dispersion des valeurs. Le comportement du film Orionépais avec un oxyde de masque dur fin est très proche de celui avec un oxyde d’épaisseurstandard. L’absence de gain provient, comme pour le film Orion à très faible permittivité,des étapes d’intégration qui ne sont pas optimisées pour ce film.

Les mesures qui viennent d’être présentées ont été réalisées après encapsulation finale dufilm. Ces résultats sont différents de ceux mesurés juste après la réalisation du niveau métal2 qui nous intéresse (figure 2.25). En effet, le film référence homogène présente une variationde capacité de couplage qui va du simple au double entre la mesure après intégration duniveau final et la mesure après intégration du niveau 2. Cette variation est beaucoup plus

76

Page 89: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.4. ÉTUDE DE L’INTÉGRATION DE L’ISOLANT D’INTERCONNEXION

(a) Schéma comparatif de la structure selon l’épais-seur de masque dur.

40 60 80 100 120100

120

140

160

180

200

Rés

istanc

e (m

/)

Capacité (aF/µm)

Référence Orion + oxyde 100 nm Orion + oxyde 50 nm

(b) Courbe RC à partir de la structure peignes inter-digités avec un espace entre ligne de 100 nm.

Figure 2.24 – Schéma en coupe et résultat électrique de l’influence de l’épaisseur du masquedur d’oxyde.

faible dans le cas du film Orion.Pour comprendre ces évolutions, les deux paramètres, résistance et capacité de couplage

mesurés au métal 2, ont été tracés en fonction du niveau qui venait d’être intégré (figure 2.26).Dans le cas de la résistance (figure 2.26(a)), les films Orion présentent un écart de valeurpar rapport à la résistance lié à la différence d’épaisseur lors du dépôt. L’évolution de la

40 80 120 160 200 240100

120

140

160

180

200 Référence encapsulée Orion encapsulé Référence métal 2 Orion métal 2

Rés

istanc

e (m

/)

Capacité (aF/µm)

Figure 2.25 – Courbe RC à partir des structures de test du métal 2 mesurée après réalisationde ce niveau et après encapsulation finale.

77

Page 90: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 2. ÉTUDE D’UN MATÉRIAU DIÉLECTRIQUE POREUX À TRÈSFAIBLE PERMITTIVITÉ OBTENU PAR L’APPROCHE NON POROGÈNE

résistance avec le nombre de niveau empilé est le même pour les trois cas. La valeur décroîtlégèrement à cause d’une stabilisation de la taille des grains de cuivre au fur et à mesuredes recuits appliqués durant l’intégration des différents niveaux. Pour la capacité de cou-plage (figure 2.26(b)), l’évolution est complètement différente entre la référence et le filmOrion. Dans le premier cas, la capacité chute de manière importante après l’intégration dechaque niveau. Malgré le masque dur, le film homogène est contaminé durant les procédésd’intégration du niveau. Le budget thermique subi lors de l’intégration des niveaux suivantspermet de désorber ces contaminants et de réduire la permittivité relative du film et donc lacapacité de couplage. A contrario, la présence de la couche dense de surface du film Orionpermet de limiter cette contamination et donc la variation de capacité de couplage liée à ladésorption de ces contaminants est réduite, et cela indépendamment de l’épaisseur de l’oxydede masque dur.

M2 M3 M4 M5 épais Plot Alu.120

125

130

135

140

145

150

155

160

165

Rés

istanc

e (m

/)

Niveau testé

Référence Orion + oxyde 100 nm Orion + oxyde 50 nm

(a) Variation de la résistance moyenne mesurée auniveau métal 2 dans la structure peigne serpentin enfonction du nombre de niveaux intégrés.

M2 M3 M4 M5 épais Plot Alu.80

100

120

140

160

180

200C

apac

ité d

e co

upla

ge (a

F/µm

)

Niveau testé

Référence Orion + oxyde 100 nm Orion + oxyde 50 nm

(b) Évolution de la capacité moyenne mesurée auniveau métal 2 dans la structure peignes interdigitésavec le nombre de niveaux intégrés.

Figure 2.26 – Influence du nombre de niveaux intégrés sur les performances électriques duniveau de base (dans notre cas le niveau métal 2).

Le film Orion, inhomogène en épaisseur, présente une intégration plus délicate du pointde vue de la gravure qui doit être optimisée par rapport au film référence homogène. Enrevanche, la présence de la couche dense pour le film Orion permet de réduire de façonsignificative la dégradation de permittivité relative induite par les procédés d’intégration. Lescontaminants, piégés lors de ces procédés, peuvent désorber. Ils risquent alors de dégrader lefonctionnement du circuit, et peuvent réduire la fiabilité des dispositifs. Réduire leur quantitédans les différents niveaux d’interconnexion est un point important à mettre en œuvre. Unesolution est l’utilisation d’une couche dense de protection, plus épaisse qu’un masque durd’oxyde et donc plus efficace, tout en étant moins dommageable pour la permittivité relativede l’isolant et les performances des interconnexions.

78

Page 91: Etude de matériaux diélectriques à très faible permittivité déposés ...

2.5. CONCLUSIONS

2.5 Conclusions

Le film Orion, proposé par Trikon, est basé sur une approche non porogène en deuxétapes. Nous avons montré que la première étape permettait de déposer un film dense SiOCavec des propriétés médiocres. La deuxième étape, à partir d’un traitement de recuit assistépar plasma d’hydrogène, permet de former le film SiOC poreux. Ce processus de formationest divisé en deux contributions. D’une part la température favorise la restructuration desliaisons de la matrice à partir d’une réaction de condensation des groupement hydroxylesprésents dans le film, pour donner naissance à la structure poreuse. D’autre part, le trai-tement plasma densifie la surface du film pour former une couche gradée en profondeur enterme de taux de porosité et de carbone. Ces deux processus antagonistes sont pourtantindissociables pour permettre la formation du film poreux.

Cette structure complexe influence les propriétés du film mais complique aussi sa carac-térisation. Le film présente une relation forte entre épaisseur du dépôt et structure du film :plus le film est épais et plus celui-ci est poreux. Il en ressort un effet direct sur les propriétésmajeures du film. La permittivité relative du film varie en fonction de l’épaisseur et il estpossible d’atteindre une valeur de 2,4 pour un film avec une épaisseur proche de celle requisepour l’intégration dans une architecture 45 nm. Nous avons montré aussi que le lien entretaux de porosité et permittivité relative du film Orion suivait la même tendance que les filmsobtenus avec d’autres approches. Cela confirme la nécessité d’introduire de la porosité dansun film SiOC pour réduire sa permittivité relative. Le film Orion présente une excellentestabilité thermique et une bonne résistance à la reprise d’humidité grâce à la couche denseet l’absence de liaisons pendantes, comblées lors de la deuxième étape du processus de dépôtqu’est le traitement thermique assisté par plasma d’hydrogène. Nous avons montré que letaux de porosité des films SiOC influençait aussi leur conductivité thermique. L’ajout d’airdans ces matériaux dégrade cette propriété. Enfin, le coefficient de dilatation thermiquedu film est relativement proche de celui du cuivre. Cela permet de limiter les risques dedécollement entre les couches durant le cycle de fonctionnement du circuit.

À partir de ces résultats, le film Orion a été évalué en intégration selon l’architecture65 nm. L’ensemble des résultats obtenus montrent que l’intégration d’un matériaux avecune permittivité relative très faible est réalisable dans le cas de l’approche Orion. Cepen-dant, la mise au point des procédés, notamment de gravure, est requise pour permettre debénéficier de la baisse de permittivité relative de l’isolant poreux sur les performances ducircuit. En revanche, la présence de la couche dense pour le film Orion permet de réduire defaçon significative la dégradation de permittivité relative induite par les procédés d’intégra-tion. Cette particularité peut devenir vitale pour la fiabilité des circuits si la désorption descontaminants qui induisent cette dégradation conduit à la dégradation des performances ducircuit.

79

Page 92: Etude de matériaux diélectriques à très faible permittivité déposés ...
Page 93: Etude de matériaux diélectriques à très faible permittivité déposés ...

Chapitre 3

Propriétés mécaniques du matériauisolant poreux

Sommaire3.1 Paramètres critiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

3.1.1 Propriétés intrinsèques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

3.1.2 Adhésion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

3.2 Mesure par nanoindentation . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

3.2.1 Technique de mesure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

3.2.2 Mesure sur le film Orion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

Détermination des propriétés . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

Comparatif avec l’approche porogène . . . . . . . . . . . . . . . . . . . . . . . 98

3.2.3 Approche multicouche . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

Méthode de mesure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

Résultats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

Problème de décollement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

Effet des plasmas d’interface . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

3.3 Traitement pour l’optimisation des propriétés mécaniques . . . . . . . . . 112

3.3.1 Techniques de traitement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

Méthodes de traitement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

Traitement thermique assisté par rayonnement ultraviolet . . . . . . . . . . . 114

3.3.2 Traitement ultraviolet sur monocouche épaisse ou multicouche . . . . . . . . . 116

Traitement alternatif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

Traitement additif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

Évaluation sur multicouche . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

3.3.3 Influence de la couche dense de surface . . . . . . . . . . . . . . . . . . . . . . 125

Traitement additif sur monocouche épaisse . . . . . . . . . . . . . . . . . . . . 126

Traitement additif sur multicouche . . . . . . . . . . . . . . . . . . . . . . . . 130

3.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

81

Page 94: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

Dans le cas des interconnexions, il existe trois paramètres principaux qui illustrent latenue d’un film mince diélectrique à une sollicitation mécanique : le module de Young, ladureté et l’adhésion. Les deux premiers sont relatifs aux propriétés intrinsèques du film alorsque le troisième paramètre est lié aux interfaces entre les différentes couches qui constituentun empilement. Nous allons voir dans la section suivante les définitions de ces grandeurs etquelles sont les techniques pour les évaluer, puis les développements introduits sur une de cestechniques, la nanoindentation, pour évaluer les caractéristiques du film Orion. Enfin, nousverrons dans la dernière section les moyens d’optimiser ces propriétés mécaniques à l’aided’un traitement thermique assisté par rayonnement ultraviolet.

3.1 Paramètres critiques

3.1.1 Propriétés intrinsèques

Les deux propriétés intrinsèques du film que sont le module de Young (E) et la dureté(H pour hardness en anglais) sont des paramètres clairement connus pour caractériser lesmatériaux massifs.

Le premier représente la force nécessaire pour déformer la structure de manière élastique.Cette valeur est obtenue à partir d’un essai de traction en mesurant la contrainte appliquéepour étirer une éprouvette en fonction de la déformation mesurée sur celle-ci. La courbeobtenue (figure 3.1) présente deux régimes distincts : une première évolution linéaire, quicorrespond à la zone d’élasticité et une seconde partie, non linéaire, qui est la zone de défor-mation plastique. Il est possible de déterminer plusieurs grandeurs à partir de cette courbe.La limite d’élasticité correspond à la contrainte limite de la zone linéaire (σy). Dans cettepartie, la déformation est obtenue par étirement des liaisons atomiques de la structure de ma-nière élastique sans rupture. La déformation imprimée à l’échantillon est ainsi complètementréversible. La loi de Hooke décrit cette évolution comme :

σ = Eε (3.1)

où σ est la contrainte appliquée et ε la déformation résultante mesurée. Cela permet dedéfinir la pente de la courbe dans la zone d’élasticité par le paramètre E, appelé moduled’élasticité ou de Young, exprimé en Pascal (figure 3.1). Au delà de cette zone, le film aun comportement plastique. Si l’essai est arrêté dans cette partie et la contrainte relâchée,l’échantillon présente une déformation permanente. Deux paramètres définissent cette zonede la courbe : la contrainte maximale applicable et la limite à rupture (ou contrainte àrupture) (respectivement σm et σr sur la figure 3.1). Dans le cas des isolants pour les in-terconnexions, seul le module de Young est utilisé comme grandeur pour évaluer la tenuemécanique d’un film. En effet, il caractérise l’élasticité du matériau, et donc sa résistance àla déformation permanente. Au delà, la déformation plastique conduit à une détériorationdu matériau qui touche le fonctionnement du circuit. De plus, seul l’essai de traction per-met d’évaluer correctement la plasticité du matériau. Celui-ci est très complexe à mettre enœuvre sur un film mince, voire impossible pour des films très minces et possédant de faiblespropriétés mécaniques comme c’est le cas ici.

Le deuxième paramètre, la dureté, représente la résistance du film à la pénétration d’unindenteur. Il existe diverses échelles qui, pour mesurer cette valeur pour un matériau mas-

82

Page 95: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.1. PARAMÈTRES CRITIQUES

r

Pente = Module de Young (E)

Zone de déformation plastique

Rupturem

y

Elas

ticité

Con

train

te (

)

Déformation ( )

Figure 3.1 – Courbe de contrainte en fonction de la déformation lors d’un essai de traction.

sif, dépendent de la procédure employée et du type d’indenteur utilisé (échelle de Brinell,Rockwell ou Vickers). Dans tous les cas, le principe reste le même : un pénétrateur indé-formable appliqué avec une charge connue laisse une empreinte dans le matériau à tester(figure 3.2). La mesure de la taille de l’empreinte permet de déduire la dureté : rapport dela force appliquée sur l’indenteur par la surface de contact. Cela correspond à une mesure depression d’écoulement sous charge, qui induit une déformation à la fois élastique et plastique.Cette caractéristique est donc un complément à la mesure du module de Young pour unesollicitation verticale, et s’exprime aussi en Pascal.

Figure 3.2 – Schéma de principe de l’essai de dureté de type Vickers.

Pour déterminer ces caractéristiques sur un film mince déposé sur un substrat, on dis-tingue principalement quatre méthodes : l’ellipsométrie porosimétrique, la diffusion Brillouin,la propagation d’ondes acoustiques et la nanoindentation. Seule la dernière technique per-met d’accéder à la fois au module de Young et à la dureté du film. Nous n’abordons pas lestechniques basées sur les mesures sur poutres [Nix89]. La réalisation de tels échantillons avecdes film poreux, qui ont des propriétés mécaniques faibles, est trop complexe.

83

Page 96: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

La première méthode dérive de la mesure par ellipsométrie porosimétrique, c’est donc uneméthode non destructive mais invasive [Mog02]. Elle s’appuie sur la déformation élastique dufilm lors de l’adsorption du solvant. En effet, la pénétration du solvant dans les pores entraîneune variation de l’épaisseur du film à cause des forces de capillarité. Cette sollicitationpeut être utilisée pour déterminer l’élasticité de la structure poreuse. Cette technique estdifficilement applicable au cas des films Orion car le solvant ne peut pénétrer dans le film àcause de la couche dense de surface. La mesure avec cette technique n’est donc possible quesi la partie dense est retirée, ce qui a un impact sur la tenue mécanique de la structure.

La deuxième méthode est basée sur la diffusion Brillouin, elle est aussi non destruc-tive [Ché05, Ché06, Lin06]. La spectroscopie Brillouin est une analyse de la diffusion in-élastique de la lumière par une surface. Lorsqu’un faisceau de lumière monochromatique estfocalisé sur l’échantillon, une interaction se produit entre les photons de la lumière incidenteet les phonons acoustiques présents dans le matériau. Il apparaît un décalage en fréquencedû à la diffusion des photons lors de cette interaction. Ce décalage est relié à la vitesse depropagation des ondes acoustiques dans le matériau. Comme l’onde acoustique correspondà une contraction locale et temporelle du réseau, sa vitesse de déplacement dépend de l’élas-ticité de la structure du matériau. Il est alors possible de relier la vitesse de propagationau module d’élasticité du film. Cette technique permet également d’accéder au coefficientde Poisson du film. Cependant elle se base sur l’hypothèse que le matériau est élastiqueet isotrope, ce qui n’est pas le cas du film Orion. Cela complique fortement la mesure desparamètres élastiques par cette approche.

Figure 3.3 – Exemple de détection d’interférences destructives (schéma de gauche) etconstructives (schéma de droite) suite à la propagation d’une onde acoustique.

La troisième méthode, non destructive, est basée sur la mesure de propagation d’ondesacoustiques qui ont été créées dans le matériau par ailleurs [Bam01, Cha05, Gos04, Sch05a].Quelque soit la technique employée, le principe reste le même : une onde acoustique estgénérée dans le film, on mesure ensuite sa vitesse de propagation. À partir de cette vitesse,il est ensuite possible de déterminer le module de Young du film. Cette approche présentel’avantage d’être non destructive, et de pouvoir être utilisée sur des films de faible épaisseur

84

Page 97: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.1. PARAMÈTRES CRITIQUES

(en dessous de 200 nm). Deux types de mesure sont possibles selon l’équipement employé. Lapremière correspond à la génération d’une onde acoustique de surface qui se propage de façonlongitudinale dans le film. Elle permet d’accéder à d’autres caractéristiques du film commele coefficient de Poisson ou la densité du film. Cependant, du fait de la nature gradée du filmOrion en surface, cette technique est plus difficile à mettre en œuvre que pour un film mincehomogène. La deuxième technique fait appel à la propagation de l’onde acoustique, non plusen surface, mais en profondeur [Cha05]. Dans ce cas, un flash laser de 0,1 pico-seconde estenvoyé à la surface de l’échantillon qui augmente localement la température (entre 5 et 10C)sur une surface réduite (quelques µm2). Cette onde, appelée de pompage, est transmise ausubstrat à travers la couche transparente à analyser. Le substrat de silicium opaque absorbel’énergie de cette onde et émet une onde acoustique qui va traverser le film en direction dela surface. Cette onde acoustique induit un changement local de l’indice de réfraction quipeut réfléchir le faisceau de mesure. La mesure en continue de la somme faisceau réfléchi à lasurface plus faisceau réfléchi par l’onde acoustique forme des interférences constructives etdestructives au niveau du détecteur (figure 3.3). À partir de cette périodicité, il est possibled’obtenir la vitesse de propagation (V ) de l’onde acoustique dans le film :

V =λ

2nτcosφ(3.2)

avec λ la longueur d’onde du faisceau de mesure, n l’indice de réfraction du film, τ la périodedes oscillations et φ l’angle de réfraction. Le module de Young peut alors être déterminé par :

E =(1− 2ν)(1 + ν)2

(1− ν)ρV 2 (3.3)

où ν est le coefficient de Poisson du film et ρ sa densité. La détermination du module de Youngnécessite dans ce cas la connaissance du coefficient de Poisson qui est difficile à déterminerpour un film non isotrope comme l’Orion. Les mesures obtenues avec cette technique sontdonc à prendre avec précaution à cause de l’incertitude induite par ce coefficient. En revanche,cette technique va permettre d’évaluer l’effet du lien entre structure et épaisseur sur le modulede Young, en supposant que le squelette du film est le même quelque soit l’épaisseur.

La dernière méthode, cette fois destructive, est la nanoindentation. Cette méthode estcelle employée pour évaluer les propriétés des films Orion car c’est la seule qui permette d’ob-tenir la dureté du film. C’est la première méthode développée pour déterminer les propriétésmécaniques des films minces. Elle sera détaillée dans la section suivante.

3.1.2 Adhésion

L’arrachement au cœur d’un film correspond à une rupture cohésive. À l’opposé, l’arra-chement à l’interface entre deux couches correspond à une rupture adhésive. L’énergie decette rupture représente l’adhésion qui existe entre les deux couches considérées. Lorsque l’ondésire déterminer cette valeur, on mesure généralement l’énergie nécessaire pour « séparer »deux couches en contact. Dans le cas de films minces, cela fait intervenir les interactionsphysico-chimiques qui représentent l’énergie d’adhésion mais aussi les déformations plas-tiques induites durant la sollicitation autour de la zone de fissure. Il est donc difficile defaçon expérimentale d’avoir accès à l’énergie d’adhésion. La mesure qui en est faite tient

85

Page 98: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

donc compte, quelque soit le protocole employé, de l’ensemble des phénomènes microsco-piques qui lient les deux couches évaluées. Dans le cas des isolants d’interconnexion, ce pointillustre l’intérêt d’une telle évaluation qui prend en compte l’ensemble des comportementspour donner une valeur globale de tenue d’une interface. En revanche, elle ne permet pasd’identifier clairement les différentes origines du problème. Il est important d’ajouter quela connaissance des énergies d’adhésion des différentes interfaces présentes dans les inter-connexions est cruciale. En effet, une interface faible dans l’empilement peut conduire audécollement des deux couches, que ce soit lors des étapes suivantes de fabrication ou lorsdu fonctionnement du circuit. Ce défaut favorise les courants de fuite dans la structure cequi entraîne une dégradation des performances du circuit [Hwa06]. De plus, cette augmen-tation des courants de fuite peut engendrer un claquage du film diélectrique qui conduit àl’endommagement irréversible du circuit.

Figure 3.4 – Modes possibles d’ouverture d’une fissure.

Le mécanisme d’arrachement, ou de décollement, prend sa source dans une fissure. Lamesure d’adhésion doit donc prendre en compte l’énergie nécessaire pour former cette fissure,ce qui est généralement le cas. Une fois la fissure formée, il existe différents modes de pro-pagation de cette fissure selon l’orientation de la sollicitation appliquée aux interfaces crééespar la formation de la fissure (figure 3.4). Le premier mode (mode I) correspond à un moded’ouverture normal. Les surfaces de la fissure s’écartent perpendiculairement l’une de l’autresous l’effet d’une sollicitation normale aux interfaces décollées. Dans les deux autres cas(modes II et III), les surfaces restent dans le même plan. La sollicitation est soit dans unedirection perpendiculaire au front de la fissure, soit dans une direction parallèle. Le modele plus sévère est bien évidemment le premier qui tend à ouvrir la fissure et à rompre entension les liaisons atomiques du matériau qui sont à la base de la fissure. Ce mode favorisela propagation de la fissure. Dans le cas de l’évaluation de l’adhésion entre couches, c’est lemode que l’on va solliciter. La caractérisation de la tenue d’un matériau à la propagationd’une fissure s’exprime par la ténacité Kc. Elle représente le facteur critique d’intensité decontrainte et s’exprime comme :

Kc =√

EGc (3.4)

avec E le module d’élasticité du matériau. Gc désigne l’énergie absorbée nécessaire pourcréer une surface libre donc une fissure d’aire unité. Elle est appelée énergie de rupture ou

86

Page 99: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.1. PARAMÈTRES CRITIQUES

taux critique de libération d’énergie élastique, c’est une caractéristique du matériau. Unevaleur élevée de Gc signifie qu’il est difficile de former une nouvelle surface libre, c’est àdire propager une fissure. Dans le cas où la fissure se propage à l’interface, ce terme reflètel’adhésion entre deux couches (la relation avec Kc devient plus compliquée).

Pour déterminer cette valeur, l’essai de flexion quatre points est utilisé (figure 3.5). Lapréparation de l’échantillon est relativement longue et complexe. En effet, l’empilement étu-dié doit se trouver entre deux substrats massifs et élastiques. Pour cela, un substrat desilicium est collé sur l’empilement, lui-même déposé sur un substrat de silicium. Ceci per-met de placer l’empilement au centre d’une structure sandwich. Une éprouvette est ensuiteréalisée dans cet ensemble. Une entaille est pratiquée sur la partie supérieure de la poutrecomme point d’initiation pour la propagation de la fissure. Enfin, une force est appliquée surl’éprouvette par l’intermédiaire d’un système de flexion quatre points.

Figure 3.5 – Schéma de principe de la mesure par flexion quatre points [Ma97].

L’angle de phase du chargement est d’environ 45. La fissure a alors tendance à s’enfoncerdans l’empilement. Pour minimiser son énergie de propagation, la fissure va se déplacer dansles zones les plus fragiles. Ce sera soit de façon cohésive dans un des films, parallèlement àl’interface, si la ténacité de celui-ci est plus faible que la résistance interfaciale, soit le longde l’interface la plus faible.

Au cours de l’essai, l’échantillon est soumis à une vitesse de déplacement constante dela part des deux points supérieurs d’application de la force. Le test comporte quatre phasesdistinctes (figure 3.6) :

– déformation élastique de la structure jusqu’à la force critique Fr,– pour F = Fr, le substrat supérieur se rompt,– amorçage de la fissure au niveau de l’interface la plus faible où l’énergie de propagation

nécessaire est la plus faible,– propagation de la fissure à chargement constant Fc.

Lorsque la fissure s’est propagée dans l’échantillon de manière uniforme, dans les deux sens,et suffisamment loin de l’entaille verticale initiale, le taux critique de restitution de l’énergiede déformation, Gc, est indépendant de la longueur de la fissure.

87

Page 100: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

Rupture du substrat

Propagation de la fissure

Fr

Fc Forc

e F

Déplacement

Figure 3.6 – Courbe classique enregistrée lors d’un essai de flexion quatre points.

En admettant que toute l’énergie stockée dans le substrat est utilisée pour la propagationde la fissure et que la plasticité en avant de la fissure est confinée, Gc peut être évalué grâceà l’équation suivante :

Gc =21(1− ν2)F 2l2

16Eb2e3(3.5)

avec ν le coefficient de Poisson du substrat, l la distance entre le point d’appui et le pointd’application de la force, E le module de Young du substrat, b la largeur de la poutre et el’épaisseur totale des deux substrats. Cela permet de déterminer l’énergie d’adhésion sansfaire intervenir les propriétés mécaniques des couches de l’empilement.

88

Page 101: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

3.2 Mesure par nanoindentation

La nanoindentation est la technique de choix pour évaluer les propriétés mécaniquesdes films minces. En effet, elle est employée depuis longtemps pour tester les films métal-liques [Nix89]. Elle présente l’avantage important, du point de vue de l’étude d’un film, depouvoir fournir à la fois le module d’élasticité et la dureté de l’échantillon testé. Cette tech-nique repose sur la pénétration d’un indenteur de forme connue dans le film. Le suivi dedifférentes grandeurs permet ensuite de remonter aux propriétés mécaniques susnommées.Nous allons voir dans cette section le détail de la technique de mesure par nanoindentation,puis la mesure réalisée sur le film Orion. Pour terminer, nous verrons l’évaluation de cettemesure sur une approche multicouche, qui est imposée par les procédés de fabrication desfilms SiOC poreux, pour déterminer les propriétés du film mince.

3.2.1 Technique de mesure

Comme il vient d’être brièvement présenté, la nanoindentation ressemble à un essai dedureté transposé sur film mince. Si le principe en est proche, la technique de mesure etl’extraction des paramètres mécaniques sont assez différentes.

Le principe de fonctionnement d’un nanoindenteur est donné sur la figure 3.7. L’équi-pement est composé d’un indenteur équipé avec une pointe pyramidale en diamant de typeBerkovich. Cette pointe possède une base triangulaire équilatérale avec un angle entre chaqueface et l’axe central de la pyramide égal à 65,3. Cela permet de négliger l’effet des frictionsde contact avec un angle d’ouverture de la pyramide de 140. De plus, le rayon de courbure

Figure 3.7 – Schéma de l’équipement de nanoindentation.

89

Page 102: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

est suffisamment faible (30 à 50 nm) pour considérer la mesure de pénétration exploitabledès 30 à 40 nm de profondeur d’indentation. La connaissance de la géométrie de l’indenteurest primordiale puisqu’elle détermine le comportement du film lors de l’essai ainsi que les mo-dèles physiques qui permettent de déterminer les propriétés mécaniques du film, ces modèlesétant basés sur des lois d’écoulement élastique et plastique. L’ensemble pointe et supportest suspendu par des ressorts flexibles calibrés dans une colonne. Un applicateur de force detype électromagnétique (bobine + aimant) permet de transmettre l’effort sur la colonne. Uncapteur de capacité mesure le déplacement de la pointe. L’ensemble du système couvre ainsiune large gamme de force (0,1 à 5.105 µN) tout en conservant une bonne résolution.

Lors de l’essai d’indentation, la charge est appliquée localement sur le matériau et levolume sollicité augmente avec l’effort transmis. Dés le début de la mise en charge, l’indenteurengendre une déformation élastoplastique du film (figure 3.8).

Figure 3.8 – Intéraction entre la pointe et la surface lors d’un essai de nanoindentation surun film mince.

La déformation plastique est localisée sous l’indenteur. La profondeur de pénétration dela pointe durant l’essai est donc la somme de la déformation élastique et de la déformationplastique du film. Lors de la décharge totale, il apparaît une empreinte rémanente de pro-fondeur hp qui correspond à la déformation plastique induite par la pointe. La profondeurde contact est définie comme la différence entre la profondeur totale de pénétration et ledéplacement élastique de la surface. Il est possible de définir la déformation comme :

ε =a

h(3.6)

où a représente la demi-largeur de pénétration et h la profondeur de pénétration. Pourune pointe de type Berkovich, il est possible de relier a avec la profondeur de déformationplastique hp par la relation suivante :

a =2hp

π tanα(3.7)

avec α l’angle entre la face de la pyramide et l’axe central de celle-ci (soit 65,3 pour unepointe Berkovich).

Le principe de la mesure est d’appliquer une vitesse de déplacement de la pointe fixe.L’acquisition consiste à mesurer le déplacement de l’indenteur en fonction de la charge ap-pliquée. Une courbe typique de mesure de nanoindentation est donnée figure 3.9. L’essaiconsiste donc en un cycle complet de charge et décharge continues. Un maintien sous chargeconstante est aussi effectué avant la décharge afin de s’affranchir des mécanismes de relaxa-tion dépendant du temps et non réversibles comme le fluage. La rigidité de contact est définie

90

Page 103: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

S

Charge élastique (jusqu'à Py)puis plastique

Py

Déchargeélastique

hthr

Cha

rge

(P)

Profondeur de pénétration (h)

Pmax

Figure 3.9 – Courbe classique enregistrée lors d’un essai de nanoindentation représentantla charge appliquée en fonction de la profondeur d’indentation.

comme la pente de la portion initiale de la courbe de décharge, S, et s’exprime selon :

S =dP

dh=

2√π

E∗√

A (3.8)

avec A l’aire de contact sous la charge et E∗ le module réduit composite qui représente lecouple film + pointe. Le premier paramètre doit être déterminé avec le maximum de précisionpour limiter l’incertitude sur la mesure. Le moyen le plus efficace est donc de faire une imagede l’empreinte pour calculer cette dimension. Cependant, cela complique fortement la mesure.C’est pourquoi des relations entre aire de contact et profondeur de l’empreinte rémanentehp ont été établies. Une première approximation donne :

A = 24, 5h2

p (3.9)

dans le cas d’un indenteur Berkovich de géométrie parfaite. Dans le cadre de nos mesures, laméthode développée par Oliver et Pharr [Oli92] a été suivie. Elle permet de déterminer defaçon plus précise l’aire de contact à partir d’une calibration effectuée sur de la silice recuite.De plus, cette méthode tient compte de la forme non linéaire de la courbe de décharge pourdéterminer la pente. Ainsi, il est possible de décrire la courbe de décharge par une fonctionpuissance qui prend la forme suivante :

P = C(h− hr)m (3.10)

avec C et m des constantes qui peuvent être évaluées par des mesures sur des matériauxréférences. Si l’on suppose que la pointe a le comportement d’un indenteur conique et que

91

Page 104: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

l’écrasement du film sous cet indenteur est négligeable, le déplacement élastique de la surfaceest décrit par :

ht − hp = εPmax

dP/dh(3.11)

avec ε une constante (et non la déformation) égale à 0,75.Le deuxième paramètre de l’équation 3.8, E∗, permet d’obtenir le module de Young du

film par la relation suivante :1

E∗=

1

Er+

1

Eri

(3.12)

oùEr =

E

1− ν2(3.13)

est le module réduit d’élasticité, ν représente le coefficient de Poisson. L’indice i indiqueles données pour la pointe. Le module réduit exprime le fait que l’état de contrainte sousla zone de contact est triaxial. Comme les contraintes et les déformations induites par cecontact sont inhomogènes, Er représente la valeur moyenne du module élastique dans lazone testée. D’autres travaux ont été menés pour tenir compte de l’effet du substrat surla détermination de ce module réduit selon la forme de l’indenteur, que l’on peut trouverdans [Men97, Nix89]. Ils conduisent à divers modèles basés sur l’expression 3.12 qui apportentdes corrections mineures et alourdissent les calculs.

Enfin la dureté est obtenue par :

H =Pmax

A(3.14)

avec Pmax la force maximale appliquée à l’indenteur (voir la figure 3.9).En superposant à la force appliquée de petites oscillations, et en mesurant à la même

fréquence le déplacement de l’indenteur, la rigidité de contact peut être mesurée de façoncontinue au cours de l’essai, ce qui permet ainsi une mesure continue du module élastiquedu film en fonction de la profondeur de pénétration de l’indenteur.

Les différentes mesures de nanoindentation présentées par la suite ont été réalisées à partirde deux équipements calibrés de manière identique et étalonnés l’un par rapport à l’autre, l’unau Laboratoire de Thermodynamique et PhysicoChimie Métallurgique (LTPCM Grenoble)et l’autre au laboratoire de caractérisation de l’Alliance Crolles2. Le protocole de mesureconsiste à réaliser chaque essai à l’air et à température ambiante avec 9 ou 12 indentationssur l’échantillon de taille proche de 1 cm2. La vitesse de déformation est imposée et égale à5.10−2 s−1. Pour permettre la mesure en continue de la rigidité, les oscillations appliquéessur la mesure de charge et décharge ont une amplitude de 2 nm pour une fréquence entre 30et 45 Hz selon les échantillons.

3.2.2 Mesure sur le film Orion

L’évaluation des propriétés mécaniques du film Orion par nanoindentation correspondau test d’une structure composée d’un matériau, considéré comme mou, déposé sur un sub-strat de silicium plus dur et plus rigide que lui. Pour un substrat de silicium standard, lescaractéristiques sont les suivantes : Er ∼ 150 GPa et H ∼ 12 GPa. La probabilité d’inté-raction entre le substrat et la pointe de mesure lors de sa pénétration dans le film est donc

92

Page 105: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

très importante. Cette intéraction se traduit par une surestimation des propriétés du filmtesté [Sah02, Nix97, Wan05]. C’est pourquoi il est nécessaire de déposer un film épais pours’affranchir de cet effet, au moins 1 µm d’épaisseur dans le cas des films SiOC poreux. Malgrécette précaution, la mesure en continu n’est exploitable que sur des profondeurs relativementfaibles, de l’ordre de 20% de l’épaisseur totale du film.

0 200 400 600 800 10000

2

4

6

8

10

12

14

Er (G

Pa)

Profondeur de contact

(a) Module réduit d’élasticité en fonction de la pro-fondeur de contact pour le film Orion épais.

0 200 400 600 800 10000,0

0,2

0,4

0,6

H (G

Pa)

Profondeur de contact

(b) Dureté en fonction de la profondeur de contactpour le film Orion épais.

Figure 3.10 – Courbes de mesure des propriétés mécaniques du film Orion épais obtenuespar nanoindentation.

Une première mesure a été réalisée sur le film Orion épais. Pour atteindre cette épais-seur, les temps de dépôt et de recuit ont été augmentés pour obtenir une monocouche. Lafigure 3.10 représente la courbe du module réduit d’élasticité et de la dureté en fonction dela profondeur d’indentation. Les barres d’erreur sur les deux courbes correspondent à l’écarttype des valeurs obtenues avec les 9 indentations. Il est possible de distinguer trois partiesdans les deux cas :

– la première zone, en dessous de 50 nm de profondeur, correspond à un pic de la courbevers la surface du film. Cet artefact est produit par l’incertitude sur la détection dupoint de contact entre la pointe et la surface. Cela s’explique par la forme de la pointequi n’est pas parfaite et possède un rayon de courbure de l’ordre de 30 à 40 nm. Ainsi,cette première partie ne reflète en aucune manière les propriétés du film, elle est doncinexploitable ;

– la deuxième partie correspond au plateau autour d’un minima. C’est la zone qui semblela mieux indiquée pour évaluer les valeurs caractéristiques du film ;

– la troisième partie correspond à une augmentation des valeurs pour une profondeurcroissante. Cette hausse est due à l’influence du substrat sous-jacent qui possède unerigidité nettement supérieure et à laquelle l’indenteur devient sensible à partir d’unecertaine profondeur.

On remarque dans cette dernière zone que les deux caractéristiques mécaniques du matériaun’ont pas la même sensibilité à l’effet du substrat. Le module de Young est sensible à ceteffet pour une profondeur de pénétration beaucoup plus faible que la dureté. Ces deux

93

Page 106: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

comportements s’expliquent par la profondeur sondée. Le module de Young fait appel àl’élasticité des liaisons. L’indenteur sonde en profondeur une grande quantité de liaisons. Aucontraire, la dureté se rapporte à la partie plastique de la déformation. Dans ce cas, la zonesondée sous l’indenteur est beaucoup plus réduite.

- Détermination des propriétés -

Ces premiers résultats appellent une interrogation majeure, à savoir comment détermi-ner la valeur qui correspond à notre film à partir d’une courbe ayant ce profil. Il existetrois méthodes pour extraire les valeurs mécaniques. La première approche est une conven-tion générale pour les matériaux testés par nanoindentation et qui consiste à mesurer lesvaleurs pour une profondeur d’indentation égale à 10% de l’épaisseur totale (figure 3.11(a)et tableau 3.1). Pour faciliter cette détermination, l’échelle des abscisses devient le rap-port profondeur d’indentation sur épaisseur du film. Cette approche fait l’hypothèse quel’effet du substrat peut être considéré comme nul autour de cette zone, donc que le film estsuffisamment épais (ce qui est le cas ici). Mais il nécessite aussi l’hypothèse que 10% soitune profondeur suffisante pour s’affranchir de l’effet de surface induit par l’incertitude decontact. Cela semble correct pour la mesure d’élasticité mais pas pour la dureté dans le casde notre film poreux (figure 3.11(a)).

0,0 0,2 0,4 0,6 0,8 1,00

2

4

6

8

10

12

14

Profondeur de contact / épaisseur du film

Er (G

Pa)

Mesure à 10% Mesure au minimum

0,0

0,1

0,2

0,3

0,4

0,5

0,6

H (G

Pa)

(a) Evaluation des propriétés mécaniques à partirdes courbes obtenues par nanoindentation.

0,00 0,05 0,10 0,15 0,200,0

0,5

1,0

1,5

2,0

2,5

3,0

Profondeur de contact / épaisseur du film

Er (G

Pa)

Er = 1,69 GPaH = 0,4 GPa

0,0

0,1

0,2

0,3

0,4

H (G

Pa)

(b) Extraction des paramètres mécaniques par laméthode de régression linéaire dans la zone 6-12%.

Figure 3.11 – Méthodes de détermination des propriétés mécaniques à partir des courbesde nanoindentation.

La deuxième approche, plus rigoureuse, s’appuie sur le protocole mis en place lors du projeteuropéen Indicoat [Jen01]. La procédure est la suivante :

1. les valeurs pour une profondeur inférieure à 40 nm sont exclues.

2. le module réduit et la dureté sont représentés en fonction du rapport profondeur depénétration de l’indenteur sur épaisseur du film.

3. une régression linéaire est faite pour les points compris entre 6 et 12% de profondeur.

94

Page 107: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

4. les mesures correspondent alors à l’extrapolation de cette régression pour une profon-deur nulle.

Cette méthode a permis de déterminer avec précision les propriétés de films SiOC densesavec des valeurs comparables à celles obtenues par d’autres techniques de mesure [Ché06].En appliquant cette solution aux courbes obtenues avec le film Orion, on obtient les résultatsprésentés sur la figure 3.11(b) et rappelés dans le tableau 3.1. Que ce soit pour l’élasticitéou la dureté, la régression est croissante en allant vers la surface. La troisième méthodeconsiste simplement à prendre la valeur au niveau du plateau minima comme mesure la plusproche des propriétés du film puisque sans effet du substrat ni de l’incertitude de contact(figure 3.11(a) et tableau 3.1).

Les résultats obtenus avec ces trois méthodes sont comparés dans le tableau 3.1. Il ap-paraît que la détermination du module réduit d’élasticité est équivalente pour la méthode à10% et celle du minimum. Par contre, la méthode par régression linéaire donne une valeurplus forte d’environ 40%. La dureté semble un problème plus compliqué puisqu’aucune destrois méthodes ne se corroborent. Cette variation de résultat entre les deux caractéristiquesprovient principalement du décalage vers une profondeur plus grande du minimum de lacourbe de dureté par rapport à celle du module réduit d’élasticité.

Tableau 3.1 – Résultats de la mesure des propriétés mécaniques à partir d’une courbe denanoindentation selon différentes méthodes.

Module réduit Dureté

d’élasticité (GPa) (GPa)

Mesure à 10% de la profondeur 1,2 0,23

Extrapolation linéaire entre 6 et 12% 1,69 0,4

Minimum de la courbe 1,16 0,153

Pour comprendre ces variations, nous avons comparé les deux courbes du film Orionà celles obtenues pour d’autres matériaux déposés par PECVD : un film SiOC dense depermittivité relative égale à 3 et deux films SiOC poreux obtenus avec l’approche porogènedont l’un possède une permittivité relative égale à 2,5 et l’autre égale à 2,35. Dans les troiscas, les films ont une épaisseur de 1 µm. Le film dense est obtenu en 1 seule couche alors quepour les films poreux, la technique de retrait du porogène ne permettant pas de traiter un filmd’épaisseur supérieure à 500 nm, l’épaisseur requise a été obtenue en empilant deux couchesde 500 nm pour le film avec une permittivité relative de 2,5 et quatre couches de 250 nmpour celui avec une valeur de 2,35. L’ensemble des courbes est présenté sur la figure 3.12. Dufait de la différence de permittivité relative et donc de taux de porosité, les courbes occupentdes plages de variation différentes. Le retrait des points de mesure en dessous de 40 nm deprofondeur d’indentation permet d’éliminer le phénomène d’incertitude de contact dans laplupart des cas. Pour le film dense et les deux films de l’approche porogène, il apparaîtque le début de la courbe correspond au minimum (plateau) ou proche de cette zone dela courbe. Ainsi, l’utilisation de la méthode par régression entre 6 et 12% de la profondeurd’indentation donne des résultats très proches des deux autres méthodes pour ces trois films.

95

Page 108: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

0,0 0,2 0,4 0,6 0,8 1,00

10

20

30

40

50

Er (G

Pa)

Profondeur de contact / épaisseur du film

Dense (k=3) Porogène (k=2,5) Porogène (k=2,35) Orion

(a) Module réduit d’élasticité en fonction de la pro-fondeur d’indentation.

0,0 0,2 0,4 0,6 0,8 1,00,0

0,5

1,0

1,5

2,0

2,5

3,0

H (G

Pa)

Profondeur de contact / épaisseur du film

Dense (k=3) Porogène (k=2,5) Porogène (k=2,35) Orion

(b) Dureté en fonction de la profondeur d’indenta-tion.

Figure 3.12 – Courbes des propriétés mécaniques du film Orion comparées à celles d’unfilm SiOC dense et de deux films poreux obtenus par l’approche porogène.

En revanche, ce comparatif sur le profil des courbes illustre le comportement décalé du filmOrion. Même en retirant les points pour une profondeur d’indentation inférieure à 40 nm, lacourbe d’élasticité et surtout celle de dureté présentent toujours une forme en cloche inverséetrès marquée, avec un minimum bien au-delà de 10% de profondeur d’indentation pour ladureté.

La raison de ce comportement provient de la différence de structure entre le film Orionet les autres matériaux. En effet, ces derniers ont une composition et une répartition dela porosité en profondeur qui est homogène. A l’inverse le film Orion présente un gradientstructural très marqué (voir la section 2 du chapitre 2). Les propriétés mécaniques de lacouche dense en surface viennent s’ajouter à l’effet d’incertitude de contact et accentuent laforme en cloche inversée du côté des faibles épaisseurs. Ce phénomène est plus marqué pourla mesure de dureté car la profondeur sondée (zone plastique) est moins grande. Lors dela pénétration de l’indenteur dans la zone dense de surface (en considérant une profondeursupérieure à celle de l’effet d’incertitude de contact), celui-ci ne sonde pas une couche sous-jacente homogène mais un mélange couche dense plus couche poreuse. L’élasticité étantsensible sur une plus grande profondeur que la dureté, cet effet de surface est moyenné par lesondage d’une partie de la zone poreuse située en dessous. Dans le cas du film Orion, la zoned’exclusion doit donc être plus grande tout en gardant à l’esprit l’effet du substrat. Le choixde la mesure du minimum de la courbe s’impose donc pour avoir une idée la plus prochedes propriétés du film Orion sans l’effet du substrat, tout en tenant compte de la structuregradée du film.

Il existe un deuxième point assez délicat lorsque l’on veut déterminer les propriétés dece film. La structure de type couche dense sur couche poreuse peut faire craindre que desphénomènes d’écrasement des pores interviennent et conduisent à une surestimation despropriétés. Dans notre cas, le film, déposé en une seule fois pour atteindre une épaisseurde 1 µm, présente une zone très poreuse dans le premier tiers de l’épaisseur (en partant du

96

Page 109: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

0,0 0,2 0,4 0,6 0,8 1,00

2000

4000

6000

8000

10000

0,0 0,2 0,40

100

200

300

400

500

Cha

rge

(N

)

Profondeur de contact / épaisseur du film

Zone d'écrasement

(a) Courbe de charge identifiant l’effet de l’écrase-ment des pores.

0,0 0,2 0,4 0,6 0,8 1,00

2

4

6

8

10

Profondeur de contact / épaisseur du film

E (G

Pa)

Effet d'écrasement de la zone poreuse

0,1

0,2

0,3

0,4

0,5

H (G

Pa)

(b) Courbes d’élasticité et de dureté identifiantl’effet d’écrasement.

Figure 3.13 – Effets de l’écrasement de la zone poreuse lors d’un essai de nanoindentationsur les caractéristiques enregistrées.

substrat). Cela signifie que si le phénomène d’écrasement intervient lors de la mesure pour laprofondeur standard du minima (entre 100 et 200 nm), il correspond à l’écrasement dans unezone éloignée de la zone de mesure donc certainement identifiable sur les courbes. C’est cequi a pu être observé lors de certains essais et dont un exemple est présenté sur la figure 3.13.Ce phénomène correspond à l’écrasement d’un grand nombre de pores pour fournir un signalaussi marqué sur les différentes courbes.

Lorsque l’on regarde la courbe de charge (figure 3.13(a)), il apparaît pendant le charge-ment un changement de pente autour de 20% de l’épaisseur du film avec un pseudo plateauavant que la courbe de charge ne reprenne une croissance standard. Ce genre de cassure surla courbe de charge est parfois observé pour des profondeurs plus importantes (entre 50 et100% de la profondeur du film) [She04]. Dans ces zones, la pointe induit suffisamment decontraintes dans le film sous-jacent pour entraîner le décollement d’une partie du film dusubstrat pour relâcher ces contraintes. Du fait de l’apparition de ce phénomène très tôt surla courbe alors que la pression exercée par la pointe est relativement faible, il semble peuprobable qu’il y ait eu un décollement.

Pour confirmer cela, la zone indentée a été observée au MEB. L’utilisation d’une pointeBerkovich laisse sur le film, après l’essai, une empreinte de forme triangulaire. Une coupe aété réalisée sur un côté de l’empreinte pour vérifier si un décollement apparaît entre le filmet le substrat de silicium (figure 3.14). Un schéma de l’empreinte a été rajouté pour situerla zone observée. Il apparaît ainsi clairement qu’il n’y a pas de décollement au niveau de lazone d’indentation.

Cette hypothèse étant éliminée, la zone de cassure indique que durant 50 à 100 nm,l’indenteur continue de pénétrer dans le film sans nécessiter une charge importante. S’il n’ya pas de décollement, cela correspond certainement à l’effondrement d’une partie de la zoneporeuse. La pointe ressent ce phénomène comme si le matériau devenait plus mou pendantune courte période. De ce fait, les courbes d’élasticité et de dureté présentent elles-aussi unartefact autour de 20 % d’épaisseur du film (figure 3.13(b)). L’effet est plus marqué pour

97

Page 110: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

Figure 3.14 – Coupe de la zone d’indentation d’un film monocouche épais d’Orion observéepar MEB.

la mesure de dureté qui est surtout sensible aux variations selon la normale à la surface dufilm. L’écrasement est assimilé à une chute de la dureté du film puisque celui-ci se dérobeen quelque sorte sous la pression imposée. Pour le module d’élasticité, cet écrasement estmoins visible malgré le fait que l’indenteur sonde une plus grande épaisseur de film. Ceci peuts’expliquer par la forme de la pointe qui sollicite aussi, de manière beaucoup plus importanteque pour la dureté, les autres directions du film que celle normale à la surface et qui viennentcompenser une partie de cette variation. Ce phénomène est à surveiller de près car il peutperturber les mesures. En effet, dans le cas de l’exemple de la figure 3.13(b), ce phénomèneintervient après le plateau pour la courbe d’élasticité, ce qui signifie que la mesure prise auminimum est valable. En revanche, pour la courbe de dureté, ce phénomène intervient audébut du plateau, il est donc plus délicat d’extraire une valeur de dureté car il faut extrapolerl’évolution de la courbe pour essayer d’évaluer cette valeur.

- Comparatif avec l’approche porogène -

La détermination des propriétés mécaniques de ce film Orion n’est donc pas une chosefacile. En tenant compte de l’ensemble de ces résultats, la mesure des propriétés sur lacourbe est donc prise au minimum. Cela permet de prendre le cas le plus défavorable pourle film. Ces résultats sont rappelés dans le tableau 3.1 (page 95). Il est maintenant possiblede comparer la tenue mécanique du film Orion avec les matériaux de l’approche porogène.La figure 3.15 représente les propriétés mécaniques, module réduit d’élasticité et dureté, dedifférents films SiOC poreux en fonction de la permittivité relative (cette dernière étantproportionnelle à la porosité, voir la figure 2.15 (page 64)). Dans le cas du film Orion, unproblème supplémentaire vient s’ajouter lorsque l’on veut tracer les paramètres mécaniquesen fonction de la permittivité relative : le lien entre épaisseur et structure. Cela signifie que lefilm de 300 nm d’épaisseur possède la permittivité relative désirée de 2,4 mais que l’on ne peutpas mesurer ses propriétés mécaniques avec cette épaisseur. Hors le film de 1 µm d’épaisseurpour la nanoindentation présente un taux de porosité plus élevé que celui à 300 nm et donc

98

Page 111: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

une permittivité relative inférieure. Avec un film de cet épaisseur, la mesure de capacité estdifficile car le plateau de charge sur la courbe C(V) est très faible avec une incertitude demesure importante qui rend les valeurs difficilement exploitables. Pour contourner cela, ilest possible d’approximer cette valeur en utilisant la relation entre épaisseur et propriétésdu film Orion. Le taux de porosité, pour le procédé Orion, varie quasi-linéairement avecl’épaisseur du film. De ce fait, la permittivité relative suit la même tendance. Ainsi, le filmépais de 1 µm, par extrapolation, doit avoir une permittivité relative proche de 2,1.

3,0 2,8 2,6 2,4 2,2 2,00

1

2

3

4

5

6

7

8

9 SiOC dense Approche porogène Film Orion Dépôt spin-on

Er (G

Pa)

Permittivité relative

-60%

(a) Module réduit d’élasticité en fonction de la per-mittivité relative pour différents films poreux.

3,0 2,8 2,6 2,4 2,2 2,00,0

0,5

1,0

1,5 SiOC dense Approche porogène Film Orion Dépôt spin-on

H (G

Pa)

Permittivité relative

-70%

(b) Dureté en fonction de la permittivité relativepour différents films poreux.

Figure 3.15 – Relation entre permittivité relative et propriétés mécaniques pour les filmsSiOC poreux.

Que ce soit le module réduit d’élasticité (figure 3.15(a)) ou la dureté (figure 3.15(b)), ilest clair que la réduction de permittivité relative entraîne une dégradation des performancesmécaniques pour les films SiOC poreux. En faisant une régression linéaire sur ces points, ilapparaît que les résultats obtenus avec le film Orion sont en dessous de la tendance générale.A première vue, il semble donc que le film Orion soit un mauvais candidat du point de vuedes performances mécaniques. Cependant, du fait de la relation entre épaisseur et structuredu film, il devient nécessaire pour pouvoir réaliser ce comparatif de déterminer les propriétésmécaniques du film d’épaisseur de 300 nm qui présente une permittivité relative de 2,4.Pour cela, nous avons évalué le module réduit d’élasticité du film Orion pour différentesépaisseurs avec une technique de mesure par onde acoustique, en collaboration avec RudolphTechnologies (la technique de mesure est détaillée page 84). Pour obtenir le module réduitselon l’équation 3.13 (page 92), le coefficient de Poisson déterminé sur le film SiOC dense aété choisi. La valeur est de 0,15 et a été obtenue par [Ché06]. La figure 3.16(a) représentel’évolution des propriétés mécaniques en fonction de l’épaisseur du film. Il apparaît que lefilm Orion de 300 nm d’épaisseur présente un module réduit d’élasticité proche de 4 GPa, cequi est bien meilleur par rapport au film épais. En reportant ce point sur la courbe du moduleréduit d’élasticité en fonction de la permittivité relative (figure 3.16(b)), on s’aperçoit quela valeur du film Orion est plus proche. Cependant cette valeur est toujours en-dessous dela droite moyenne, ce qui signifie que les propriétés mécaniques du film Orion sont faibles

99

Page 112: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

pour un film avec cette permittivité relative. L’emploi de la technique par onde acoustiquea permis d’évaluer les performances du film à une épaisseur requise pour l’intégration. Enrevanche, cette technique ne permet pas d’évaluer la dureté du film. C’est pourquoi uneapproche de mesure par nanoindentation sur multicouche a été étudiée pour obtenir les deuxparamètres pour le film mince.

0 200 400 600 800 1000 12000

1

2

3

4

5

Er (G

Pa)

Epaisseur du film (nm)

(a) Module réduit d’élasticité en fonction de l’épais-seur du film Orion mesuré par onde acoustique.

3,0 2,8 2,6 2,4 2,2 2,00

1

2

3

4

5

6

7

8

9

-20%

SiOC dense Approche porogène Film Orion Dépôt spin-on

Er (G

Pa)

Permittivité relative

-60%

(b) Comparatif de la mesure par onde acoustiqueavec les autres films SiOC.

Figure 3.16 – Évaluation du module réduit d’élasticité du film Orion déterminé par unetechnique d’onde acoustique.

3.2.3 Approche multicouche

La sous-section précédente a permis d’identifier la méthode nécessaire pour extraire lesparamètres mécaniques des courbes obtenues par nanoindentation pour un film inhomogèneen épaisseur. Cela a permis de voir aussi la difficulté d’évaluation du film Orion par rapportaux autres matériaux SiOC poreux. Il est donc essentiel de régler ce point par une mesuredes propriétés du film mince (ici 300 nm) par nanoindentation pour obtenir le module réduitd’élasticité et la dureté.

- Méthode de mesure -

L’idée se base sur le fait qu’il est possible de mesurer par nanoindentation, dans le casdes film déposés par l’approche porogène, les propriétés d’une multicouche. Il suffit dansnotre cas de réaliser un empilement à partir du film de 300 nm d’épaisseur pour obtenir lavaleur désirée. Cependant, comme nous l’avons vu précédemment, la structure gradée du filmentraîne une complication sur l’extraction des paramètres mécaniques à partir des courbesobtenues par nanoindentation. Ce problème risque d’être accentué en empilant plusieurscouches, sachant que chacune est gradée. Pour s’affranchir de l’effet du substrat et descouches denses de chaque surface de dépôt, la solution est d’évaluer les propriétés mécaniquesen fonction du nombre de couches et pour plusieurs profondeurs d’indentation (figure 3.17).

100

Page 113: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

Zone de mesure des propriétés du film mince

Nombre de couche

Profond

eur

d'in

dent

atio

n

Er o

u H

Effet de la surface

Effet du substrat

Epaisseur

Figure 3.17 – Courbes schématiques pour la détermination des propriétés mécaniques dufilm mince par nanoindentation sur des multicouches.

En effet, en représentant par exemple le module réduit d’élasticité en fonction du nombrede couches impliquées dans l’empilement mesuré, il va être possible pour un nombre decouches suffisamment grand de s’affranchir complètement de l’effet du substrat. En ce quiconcerne l’effet des zones denses de surface, il suffit de prendre la valeur obtenue pour chaqueempilement pour différentes profondeurs d’indentation. Un certain nombre de ces courbesdoivent alors tendre vers une asymptote représentative du module d’élasticité du monocouchede 300 nm (zone rouge sur la figure 3.17).

Les conditions de l’essai de nanoindentation restent les mêmes que celles pour la me-sure sur la monocouche épaisse. Les échantillons sont constitués d’empilements allant dedeux couches de 300 nm à neuf couches de 300 nm. Cela permet de travailler sur une zoned’épaisseur suffisamment grande pour voir les variations induites par l’effet du substrat. Septprofondeurs d’indentation ont été choisies pour caractériser au mieux les évolutions :

– 50 nm : profondeur supérieure à 40 nm pour s’affranchir de l’incertitude sur le contactmais suffisamment faible pour tenir compte de l’effet de la zone dense de surface de lapremière couche ;

– 100, 150 et 200 nm : profondeurs non sensibles à l’incertitude de contact et plus oumoins loins de la zone dense de la première couche (pour mémoire, le film de 300 nmd’épaisseur présente une zone dense de l’ordre de 40 à 50 nm de profondeur) ;

– 300 et 500 nm : effet attendu de la zone dense de la deuxième couche. Ces deuxmesures seront les plus sensibles à l’effet du substrat, particulièrement pour le premieréchantillon à deux couches ;

– minimum : valeur extraite sur chaque courbe qui est notre mesure de référence pourévaluer les propriétés mécaniques du film.

101

Page 114: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

- Résultats -

La figure 3.18 représente les mesures de la dureté en fonction de l’épaisseur de la mul-ticouche. L’effet du substrat est relativement peu marqué sur les courbes, excepté pour laprofondeur d’indentation la plus grande (500 nm) et l’échantillon avec l’épaisseur la plusfaible. Il apparaît une chute brutale pour l’échantillon de 900 nm d’épaisseur que l’on peutrelier à un artefact sur la courbe de mesure. Ce point est détaillé dans le paragraphe suivantsur l’étude du module réduit d’élasticité. Il apparaît aussi une variabilité importante desvaleurs lorsque le nombre de couches augmente (encart de la figure 3.18), maximale pour lamesure à 50 nm de profondeur d’indentation car c’est celle qui est la plus sensible à l’effetde surface. En effet, pour des épaisseurs de film supérieures ou égales à 1 µm l’influence dusubstrat n’est plus prédominante pour perturber la mesure. C’est l’effet de surface ajouté àl’incertitude de contact qui prennent le pas. Ces deux effets donnent un comportement plusaléatoire que l’effet du susbtrat. La valeur moyenne obtenue pour la couche la plus épaisseprise au minimum de la courbe de dureté donne 0,38 GPa. La quasi-totalité des courbesse rejoignent vers cette valeur ce qui confirme les hypothèses que nous avions émises sur lamesure sur un empilement. Cette valeur est en accord avec la mesure réalisée sur le filmmonocouche épais (H=0,15 GPa). Avec une porosité plus importante que le film de 300 nmd’épaisseur, la dureté est plus faible.

500 1000 1500 2000 2500 30000,20,30,40,50,60,70,80,91,01,11,21,31,4

500 1000 1500 2000 2500 30000,2

0,4

0,6

H (G

Pa)

Epaisseur de la multicouche

Minimum 50 nm 100 nm 150 nm 200 nm 300 nm 500 nm

Figure 3.18 – Courbe de dureté en fonction de l’épaisseur de la multicouche pour différentesprofondeurs d’indentation.

La figure 3.19 présente les résultats obtenus pour le module réduit d’élasticité. L’évolu-tion de l’ensemble des courbes illustre clairement l’effet du substrat pour une épaisseur del’empilement inférieure à 1 µm. Cet effet est plus marqué que pour la mesure de dureté, àcause d’une profondeur de matière sondée plus importante. Comme pour la dureté, le fais-ceau de courbes se rejoint vers une valeur fixe du module réduit d’élasticité en allant versles multicouches épaisses. Si l’on regarde de plus prés la zone 1,5 < Er < 5 GPa (encart de

102

Page 115: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

500 1000 1500 2000 2500 30000

5

10

15

20

25

30

35

40

500 1000 1500 2000 2500 3000

2

3

4

5

Er (G

Pa)

Epaisseur de la multicouche

Minimum 50 nm 100 nm 150 nm 200 nm 300 nm 500 nm

Figure 3.19 – Courbe du module d’élasticité en fonction de l’épaisseur du multicouche pourdifférentes profondeurs d’indentation.

la figure 3.19), l’évolution des différentes courbes ressemble à celle obtenue pour la mesurede dureté.

Tout d’abord, les mesures prises pour une profondeur d’indentation inférieure ou égaleà 100 nm (200 nm dans le cas de la courbe de dureté, figure 3.18) présentent une chutedu module réduit par rapport à l’évolution globale de la courbe pour la multicouche de900 nm d’épaisseur. Les mesures au minimum et à 50 nm de profondeur présentent l’écartle plus important. En regardant la courbe de charge pour l’essai réalisé sur cet échantillon,une cassure apparaît pour une profondeur d’indentation égale à 80 nm. Provenant soit d’unécrasement, soit d’une délamination, cela explique le décalage de certains points de mesurepour l’empilement de 900 nm d’épaisseur. Ensuite, les mesures faites pour une profondeurd’indentation de plus de 200 nm, sont encore sensibles à l’effet du substrat même avecles multicouches les plus épaisses. Il apparaît aussi que la mesure à seulement 50 nm deprofondeur varie en fonction de l’épaisseur de manière aléatoire à cause de l’influence de lacouche dense de surface et de l’incertitude de contact, comme pour la mesure de dureté. Àpartir de l’ensemble des autres courbes, il est possible de déterminer une valeur moyenne pournotre film. Le film de 300 nm d’épaisseur, évalué par nanoindentation avec cette approchemulticouche, possède un module réduit d’élasticité égal à 2,2 GPa. C’est une valeur supérieureà celle mesurée sur la monocouche épaisse comme pour la dureté. Mais cette valeur est endessous de celle mesurée par la technique d’onde acoustique (Er=4 GPa).

En regardant l’ensemble des échantillons, on remarque que les courbes de charge pré-sentent diverses cassures pour des profondeurs d’indentation supérieures à 300 nm. Ceux-ciproviennent certainement d’un décollement aux interfaces des empilements lors de l’essai denanoindentation. Pour le vérifier, des coupes MEB ont été réalisées sur les différents échan-tillons sur le même principe que la figure 3.14 (page 98). La figure 3.20 présente les imagesobtenues pour quelques unes de ces empreintes. Des zones de décollement sont visibles aux

103

Page 116: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

interfaces entre les couches, ainsi qu’à l’interface entre le substrat et la multicouche. En pre-nant une arête de la pointe Berkovich lors de sa pénétration dans le film, elle doit induireune sollicitation principale verticale et laisser comme pour la monocouche épaisse une fissurerectiligne normale à la surface de l’échantillon. Dans le cas présent, cette fissure principaleest accompagnée de fissures secondaires qui se propagent en escalier. C’est à dire que lorsquel’arête de la pointe a pénétré localement la première couche et qu’elle atteint l’interface, unepartie de la force appliquée est absorbée par le décollement de l’interface pour permettrede relâcher la contrainte imprimée. Ce phénomène apparaît de façon continue sur toute laprofondeur d’indentation par une alternance de propagation des fissures à l’interface selon unaxe horizontal et dans chaque couche selon un axe vertical. Ainsi, ces décollements successifsont pour effet de réduire la résistance offerte par le film face à la pointe. Ce phénomèneexpliquerait la valeur faible obtenue par nanoindentation par rapport à la mesure faite surla monocouche de 300 nm d’épaisseur par la technique d’onde acoustique.

Figure 3.20 – Coupes après nanoindentation sur des multicouches observées par MEB.

104

Page 117: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

- Problème de décollement -

Pour prévenir ce phénomène, il est nécessaire de refaire des essais sur ces multicouchesavec une adhésion améliorée entre les différentes couches. La technique la plus simple àmettre œuvre est de réaliser un traitement après le dépôt de la première couche pour activerla surface de cette dernière et améliorer la future cohésion avec la couche supérieure. Ladifficulté réside dans le fait que ce traitement ne doit pas induire de modification du film enprofondeur car cela fausserait les mesures. De plus, une modification en profondeur risque dedégrader les performances électriques du film, et notamment la permittivité relative [Hum05].Le traitement qui a été envisagé est de type plasma. En effet, un plasma de faible intensité etde courte durée doit permettre de casser les liaisons en surface du film pour rendre réactivecette dernière sans traiter en profondeur le film comme pourrait le faire un traitement detype faisceau ultraviolet ou encore une activation par attaque chimique qui risque de pénétrerdans la structure poreuse et détériorer le film. De plus, ce traitement plasma peut êtrefait de manière in-situ dans l’équipement pour limiter la contamination de la surface aprèsactivation.

Parmi les gaz accessibles dans la chambre de traitement de l’équipement Trikon, troisd’entre eux ont été retenus pour tester l’efficacité en tant que promoteur d’adhésion : l’hélium,l’oxyde d’azote et l’hydrogène. La surface de l’Orion étant de type SiOx, le premier gaz, quiest neutre, ne doit pas réagir chimiquement avec le film et doit donc privilégier un simplebombardement mécanique avec pour effet la rupture des liaisons. Dans le cas de l’hydrogène,le phénomène risque d’être plus compliqué puis qu’il peut interagir avec la structure SiOx.L’oxyde d’azote risque d’avoir un effet intermédiaire. Pour simplifier la réalisation et lamesure des échantillons, ce travail a été effectué sur un empilement de trois couches de300 nm qui correspond à la limite de l’effet du substrat pour une mesure prise au minimumsur les courbes d’indentation.

Les résultats sont donnés dans le tableau 3.2 et comparés à la mesure faite sur la mul-ticouche sans traitement aux interfaces et la mesure obtenue sur la monocouche de 300 nmd’épaisseur par la technique d’onde acoustique. Avec le traitement d’interface les valeurs sont

Tableau 3.2 – Propriétés mécaniques des films multicouches avec ou sans traitement plasmaaux interfaces (l’incertitude donnée pour les mesures de nanoindentation représente l’écarttype sur les 12 mesures réalisées pour chaque échantillon).

Références Plasma

Nanoind. Acoust.⋆ He N2O H2

Module réduit⋆⋆ (GPa) 2,2 4 3,83 3,89 5,5

± 0,08 ± 0,1 ± 0,01 ± 0,15 ± 0,02

Dureté⋆⋆ (GPa) 0,38 / 0,57 0,57 0,85

± 0,08 / ± 0,007 ± 0,15 ± 0,02⋆ Mesure réalisée sur un film monocouche de 300 nm d’épaisseur⋆⋆ Mesure extraite au minimum de la courbe pour les mesures par nanoindentation

105

Page 118: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

supérieures à l’empilement sans traitement que ce soit pour le module réduit d’élasticité oula dureté. Cela semble donc indiquer que l’hypothèse de délamination était juste et que lesdifférents traitements ont été efficaces. Il apparaît aussi que les résultats des échantillonsavec le traitement He et N2O sont relativement proches du module réduit d’élasticité mesurésur monocouche par onde acoustique. Cela confirme la méthode sur multicouche que nousavons mis en place pour déterminer les propriétés mécaniques des films minces poreux parnanoindentation. Le traitement employant de l’hydrogène donne même des performancessupérieures à la référence, ce qui semble impossible si le film n’a pas été modifié. Cette ob-servation souligne que les performances mécaniques de l’empilement sont la combinaison despropriétés intrinsèques du matériau et de la cohésion des interfaces.

Pour confirmer l’absence de décollement aux interfaces, une coupe a été réalisée dansl’échantillon traité avec le plasma d’hélium pour observer au MEB le profil d’indentation.L’image obtenue est comparée à celle de l’empilement sans traitement (figure 3.21). Ladifférence de comportement des deux échantillons sous la sollicitation de la pointe de l’in-denteur est bien visible. Dans le cas sans traitement, la pénétration est accompagnée dedécollement des couches à l’interface qui ne sont absolument pas présentes dans le cas avectraitement. Ces traitements ont donc été efficaces pour éviter le décollement des couches etobtenir une mesure des propriétés du film Orion de 300 nm d’épaisseur par cette approchesur multicouche.

Figure 3.21 – Coupes de multicouches observées par MEB, illustrant l’effet d’un plasma àl’interface sur le décollement après l’essai de nanoindentation.

- Effet des plasmas d’interface -

Il faut maintenant vérifier l’effet de ces traitements plasma sur le film pour comprendreles différences obtenues avec les mesures par nanoindentation.

Le paramètre principal à surveiller dans notre cas est la permittivité relative. Cependant,il est difficile de distinguer les différentes contributions à cette valeur dans un empilement si

106

Page 119: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

la mesure est réalisée seulement sur l’échantillon testé en nanoindentation. Ces contributionspeuvent être de deux types principalement. La première serait un effet des procédés Orion dedépôt ou de traitement qui induiraient une variation des propriétés du film lorsqu’il est dé-posé sur lui-même. La seconde est celle qui nous intéresse, à savoir quel est l’effet d’un plasmad’interface sur la permittivité relative. Ce deuxième aspect ne peut être simplement déter-miné sur une monocouche car la mesure par goutte de mercure est réalisée au CEA-LETI, cequi signifie que les échantillons sont sortis de la salle blanche et donc une contamination, parl’eau notamment, sur la surface réactive peut venir fausser les mesures. L’emploi d’un recuitavant la mesure de capacité ne peut pas être utilisé car il risque de faire réagir les liaisonsde surface et modifier la zone que l’on cherche à caractériser. Pour remédier à ces différentsproblèmes et évaluer les paramètres pertinents, la mesure de capacité en fonction du nombrede couches a été préférée. La première étape consiste à mesurer la capacité formée par notrefilm pour 4 épaisseurs qui correspondent à l’empilement de 1 à 4 couches de 300 nm. Si ledépôt d’une couche d’Orion sur une autre n’implique aucune variation, la mesure correspondalors à la mise en série d’une même capacité qui a la valeur de la monocouche de 300 nmd’épaisseur. Il est alors possible de relier la capacité mesurée, C, pour chaque empilementà l’épaisseur, e, de ceux-ci. En prenant l’exemple de trois couches identiques empilées, onobtient :

1

Cglobal=

1

C1

+1

C2

+1

C3

=e

ε0εr1

si C1 = C2 = C3 (3.15)

La capacité de l’empilement varie naturellement comme l’inverse de l’épaisseur de cet em-pilement. Il suffit de tracer ensuite la capacité en fonction de l’épaisseur de l’échantillonmesuré pour vérifier la concordance des points de mesure avec cette loi. La difficulté decette approche provient de la mesure de l’épaisseur de ces empilements avec suffisament deprécision, puisqu’aucun modèle ellipsométrique ne peut simuler trois ou quatre couches em-

0 200 400 600 800 1000 1200 1400 16000,0

0,1

0,2

0,3

0,4

0,5

Inte

nsité

du

pic S

i-O-S

i

Epaisseur de l'empilement

Figure 3.22 – Linéarité de la mesure d’intensité du pic Si-O-Si par FTIR en fonction del’épaisseur de l’empilement (couche de base de 300 nm d’épaisseur).

107

Page 120: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

pilées, chacune gradée. Il existe un moyen de vérifier l’épaisseur globale avec une incertitudemeilleure qu’une mesure ellipsométrique. Le squelette du film Orion est de type Si-O-Si. Deplus, l’intensité de la mesure par infrarouge de cette liaison est proportionnelle à la quantitéde liaisons analysées. L’intensité de la mesure de ce pic est donc proportionnelle à l’épais-seur du film. Comme la mesure est réalisée sur multicouche, la dépendance entre l’épaisseuret la porosité du procédé Orion disparaît. Nous avons donc mesuré cette intensité pour lesdifférents empilements et tracé la variation d’intensité en fonction du nombre de couche,plus simplement ici d’une épaisseur multiple de 300 nm (figure 3.22). La linéarité est par-faitement respectée. En tenant compte d’une variation du procédé inférieure à 5 nm surl’épaisseur d’un échantillon à l’autre, il est possible à partir de la mesure d’épaisseur de lapremière couche de calculer l’épaisseur totale du film. Une fois cette épaisseur déterminée etla mesure de capacité réalisée, on peut tracer la courbe pour un empilement de 1 à 4 couches(figure 3.23(a)). En réalisant une extrapolation de ces points par une loi de type puissance,il est possible d’obtenir la courbe rouge sur la figure 3.23(a). L’équation qui en résulte suitde manière précise la relation 3.15 en 1/x qui relie capacité et épaisseur. À partir de cesmesures, et en prenant l’épaisseur calculée de l’empilement, il est possible de remonter à lapermittivité relative du film. Les valeurs obtenues sont comprises entre 2,28 et 2,32 à com-parer à la valeur mesurée sur le film de 300 nm qui était de 2,4. L’écart est significatif maiscompte tenu des nombreuses appropximations faites, notamment sur la mesure d’épaisseur,ce n’est pas surprenant. Pour comparer de façon précise les empilements avec et sans trai-tement plasma, il vaut mieux regarder la mesure de capacité et ses variations plutôt que lavaleur de permittivité relative qui en est déduite. La figure 3.23(b) présente les mesures com-parées entre un empilement sans traitement et celui avec un plasma d’hydrogène à chaqueinterface. Ce traitement induit une augmentation de la capacité mesurée qui correspond enmoyenne à une hausse de la permittivité relative de 0,1. Cette variation ne peut venir d’unecouche modifiée de quelques nanomètres de profondeur. Le traitement hydrogène induit unetransformation plus profonde [Hum05].

200 400 600 800 1000 120020

40

60

80

100

120

140

160

Epaisseur de l'empilement (nm)

Cap

acité

(pF)

y=40765x-0,99

(a) Mesure de la capacité pour l’empilement sanstraitement aux interfaces.

400 600 800 100040

60

80

100

120

140

160

180

Cap

acité

(pF)

Epaisseur de l'empilement (nm)

Empilement sans traitement aux interfaces

Empilement avec plasma H2 aux interfaces

(b) Mesure de la capacité pour l’empilement avec etsans traitement aux interfaces.

Figure 3.23 – Influence du traitement aux interfaces sur la mesure de capacité par gouttede mercure.

108

Page 121: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

Une analyse de surface a été réalisée après les traitements d’interface hélium et hydrogènepour évaluer les modifications chimiques induites. La technique utilisée est la mesure dutemps de vol par spectrométrie de masse d’ions secondaires (Time of Flight Secondary IonMass Spectrometry: ToFSIMS). Un faisceau d’ions primaires de type Ar+ vient bombarderla surface de l’échantillon. Il entraîne la fragmentation de cette zone de film sous formede groupe d’atomes chargés. L’analyse de ces ions secondaires arrachés est alors faite avecun spectromètre de masse. Les conditions sont fixées de telle sorte qu’environ 3 à 4 nmd’épaisseur de film soient analysés. Du fait d’une énergie du faisceau primaire relativementfaible par rapport à la technique SIMS classique, la fragmentation des espèces à la surface estrelativement faible. Il en résulte une détection d’un grand nombre d’espèces composées d’unà plusieurs atomes. Pour faciliter l’analyse et en tenant compte de la nature de la surfacede l’Orion (type SiOx), les éléments détectés ont été rassemblés par famille. La figure 3.24présente les résultats obtenus pour le traitement par plasma d’hélium, d’hydrogène, ainsi quela mesure sur un échantillon référence sans plasma. L’ensemble des résultats a été calibré surla mesure de l’élément 30Si, l’échelle des ordonnées (exprimée en nombre de coups) représentela quantité d’éléments détectés provenant de la zone analysée.

O H C103

104

105

Cou

ps

Référence Plasma H2

Plasma He

1250

1500

1750

2000

(a) Analyse par élément atomique du signal de la sur-face.

103

104

Cx-HySi-Cx-Hy

Cou

ps

Référence Plasma H2

Plasma He

Si-O-H

(b) Analyse par famille de composé.

Figure 3.24 – Analyse ToFSIMS de la surface du film Orion avec ou sans traitement desurface de type plasma.

En ce qui concerne les mesures pour les éléments atomiques (figure 3.24(a)), l’oxygènea le signal le plus fort quelque soit l’échantillon, vient ensuite l’hydrogène puis dans uneproportion beaucoup plus faible le carbone. Cela provient de la nature de type SiOx de lasurface du film Orion. L’évolution de ces éléments montre qu’un traitement plasma entraîneune réduction de la quantité d’oxygène. Cela doit traduire la rupture des liaisons Si-O sousle bombardement des espèces du plasma. Les variations de quantité d’hydrogène sont faiblesd’un échantillon à l’autre. Le carbone quant à lui, augmente avec le traitement hydrogèneet encore plus avec l’hélium. Si l’on regarde les différentes familles de molécules détectées(figure 3.24(b)), la hausse du carbone provient d’éléments de type CxHy dont une partie estliée à un atome de silicium. De plus, il apparaît une hausse du groupe Si-O-H. Ils représententen fait de la contamination qui s’est adsorbée à la surface. La liaison avec cette surface était

109

Page 122: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

plus ou moins forte selon que, lors du bombardement par ToFSIMS, l’élément contaminanta été extrait :

– seul dans le cas d’une liaison faible (physisorption faisant intervenir des forces d’inté-raction de type Van der Waals) ;

– avec un atome de la surface, dans notre cas du silicium, à cause d’une liaison plus forteavec cet atome (chimisorption) qui a fragilisé la liaison entre cet atome et le film.

À partir de cette courbe de contamination, la première conclusion serait de dire que le trai-tement hélium provoque un plus grand nombre de rupture de liaisons Si-O, ce qui permet laformation d’un plus grand nombre de sites actifs et donc l’adsorption de plus de contami-nants. Mais l’analyse pour l’hélium a été retardée par rapport aux deux autres ce qui entraîneinévitablement une contamination plus importante à cause d’un temps d’attente plus grand.Dans les deux cas, cette analyse confirme bien que ces traitements plasma entraînent unerupture des liaisons Si-O de proche surface avec élimination de l’oxygène pour offrir un siteactif. Dans le cas de cette analyse, ces sites ont permis la capture de contaminants présentsdans l’atmosphère.

La dernière caractérisation qui a été menée illustre l’effet de ces traitements d’inter-face sur l’adhésion entre deux couches. Les mesures n’ont pas pu être réalisées entre deuxcouches d’Orion mais entre le film Orion et des barrières diélectriques. La méthode utiliséeest la flexion 4 points et les mesures ont été faites chez Freescale à Austin. L’empilementest de type barrière diélectrique, film Orion et enfin encapsulation soit par un oxyde de sili-cium, soit par une barrière diélectrique. Le traitement d’interface a été réalisé sur la barrièrediélectrique avant le dépôt du film Orion. La figure 3.25 présente les mesures obtenues pourles deux encapsulations. Les barres d’erreurs représentent ici la variabilité de la mesure sur5 éprouvettes. Malgré une variabilité importante, le traitement d’interface améliore notable-ment l’adhésion des couches de l’empilement entre elles dans le cas d’une encapsulation de

0

10

20

30

40

50

EncapsulationSiCN

Ene

rgie

(J/m

2 )

Sans traitement Avec traitement

EncapsulationSiO2

Figure 3.25 – Mesure de l’adhésion entre le film Orion et une barrière diélectrique avec ousans traitement de l’interface.

110

Page 123: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.2. MESURE PAR NANOINDENTATION

type SiO2. Dans le deuxième cas, les valeurs moyennes indiquent aussi une amélioration del’adhésion grâce au traitement. Cependant, la variabilité est trop grande pour cette mesurece qui rend plus délicate la conclusion pour l’encapsulation SiCN.

En conclusion, la nanoindentation est la seule technique qui puisse donner à la fois lemodule d’élasticité et la dureté d’une couche mince diélectrique. Pour le film Orion, nousavons montré que la détermination de ces propriétés pour un film mince était possible enutilisant l’approche de mesure sur multicouche. Dans ce cas, des précautions sont nécessaireslors de la mesure pour vérifier l’absence de décollement entre les différentes couches. Si cephénomène est présent, l’utilisation d’un traitement d’interface de type plasma est possiblepour y remédier. Par ce biais, nous avons aussi montré que la tenue d’un empilement àune sollicitation mécanique verticale dépendait en partie de l’adhésion entre les différentescouches. L’augmentation de l’affinité entre les interfaces améliore la tenue mécanique, c’estdonc un moyen efficace pour permettre aux matériaux poreux de passer des étapes d’inté-gration comme le polissage mécano-chimique ou la mise en boîtier. Le film Orion présentedes propriétés intéressantes pour un film SiOC poreux. Cependant ses propriétés mécaniquessont inférieures à celles des films obtenus par l’approche porogène. C’est pourquoi la suite decette étude s’est portée sur l’évaluation d’un traitement permettant d’améliorer les propriétésmécaniques intrinsèques du film.

111

Page 124: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

3.3 Traitement pour l’optimisation des propriétés méca-niques

Comme nous venons de le voir dans la section précédente, les propriétés mécaniques desfilms SiOC poreux sont faibles. Du fait d’étapes d’intégration provoquant des sollicitationsmécaniques importantes (étape de polissage et étape de mise en boîtier), la tenue des filmsporeux dans ces empilements devient critique. Il est donc nécessaire de trouver des solutionsqui permettent d’améliorer les performances de ces matériaux. Nous allons voir dans cettesection quels sont les traitements envisageables pour atteindre cet objectif, et pourquoi letraitement ultraviolet émerge parmi les autres solutions. Puis nous verrons quels sont leseffets de ce traitement sur le film Orion et l’intéraction avec sa structure gradée. Ce travail,bien que basé sur le procédé Orion, est tourné vers l’intéraction entre le rayonnement ultra-violet et la structure SiOC de sorte que les résultats soient généralisables à la famille desfilms SiOC poreux.

3.3.1 Techniques de traitement

Il existe de nombreuses solutions pour modifier les propriétés mécaniques d’un film mincequi sont basées sur différents procédés mais aussi qui interviennent à différents stades duprocédé de réalisation de ce film. Nous nous limitons dans notre cas à l’étude de traitementsqui soient utilisables quelque soit la méthode de réalisation du film. Cela signifie que les tra-vaux menés sur les précurseurs de dépôts ne sont pas abordés ici car ils sont trop spécifiquesau type de matériau déposé, des exemples peuvent être trouvés dans [Kaw03, Shi05].

Nous cherchons donc un traitement qui soit utilisable pour une approche PECVD aprèsla première étape de dépôt ou après le traitement de formation de la porosité. Le premiercas sera nommé dans la suite comme le traitement alternatif. Dans le deuxième cas, letraitement sera nommé additif puisqu’ajouté au procédé standard de réalisation du filmporeux. Ce dernier cas offre la plus grande polyvalence pour traiter des films SiOC poreuxd’origines diverses.

- Méthodes de traitement -

Il est possible de classer les traitements d’amélioration des propriétés mécaniques en deuxcatégories :

– le traitement thermique « seul » : seul signifie ici sans apport d’énergie autre quecelui de la température. Cela prend en compte les traitements thermiques avec uneatmosphère réactive.

– le traitement thermique assisté : dans ce cas, le terme assisté indique que le film subiten plus de la température une sollicitation de la part d’une source énergétique exté-rieure. Elle peut être principalement de trois types : plasma, rayonnement ultravioletet faisceau d’électrons.

Ces traitements doivent favoriser la réticulation du film pour permettre l’amélioration despropriétés mécaniques. Cependant, ceci ne doit pas imputer de manière trop importante lastructure globale du film, particulièrement la porosité sous peine d’une dégradation de lapermittivité relative. Le traitement perdrait alors son intérêt en n’offrant qu’un simple effet

112

Page 125: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

de densification du film, bénéfique pour l’aspect tenue mécanique mais avec des propriétésélectriques en dehors des spécifications pour l’intégration dans une génération donnée.

La première catégorie de traitement, dit thermique « seul », découle du travail mené surles films déposés par centrifugation qui nécessitaient deux étapes de recuit pour retirer le sol-vant puis améliorer la réticulation du film. Cette technique présente l’avantage d’être simpleet de faire appel à des équipements eux aussi simples et bien maîtrisés. La température detraitement, du fait des restrictions imposées par les transistors sous-jacents, ne peut dépasserglobalement 400C. Les traitements les plus utilisés oscillent donc entre 300 et 400C avecune atmosphère neutre type N2. Cette limitation a pour conséquence la nécessité d’utiliserdes temps de traitement longs pour être efficace, typiquement entre 30 minutes et une heure.Les mécanismes correspondent en général à l’élimination des éléments hydrogènes ou mé-thyles pour former des liaisons Si-O. Les temps de ces traitements sont incompatibles avecune application industrielle. Une première solution serait d’augmenter la température bienqu’il y ait un risque pour les transistors. Cependant cette méthode, si elle est efficace pourles propriétés mécaniques, entraîne des dégradations du film poreux dans la zone proche dusubstrat [Mat05a]. C’est pourquoi ces traitements ont été légèrement modifiés par l’ajout degaz réactifs dans la chambre de recuit. Les molécules de type TMCTS1 sont utilisées et per-mettent une amélioration significative des propriétés des films pour des temps relativementcourts, de l’ordre de quelque minutes [Koh04]. Malheureusement seuls les films de type siliceporeuse, qui présentent une porosité importante, peuvent être traités de manière efficace. Deplus, l’emploi de telles molécules dans un film poreux peut induire une contamination quirisque d’être gênante pour les étapes d’intégration suivantes ou lors du fonctionnement ducircuit.

Le traitement thermique est une bonne base pour améliorer les performances mécaniquesdes films SiOC poreux mais il requiert une aide « extérieure » pour augmenter son efficacitéet réduire le temps de traitement nécessaire. Pour cela, le traitement thermique assisté parplasma peut être envisagé. Comme nous l’avons vu pour le procédé Orion avec le traitementassisté par un plasma d’hydrogène, il est possible de casser des liaisons pour favoriser laréticulation du film par la formation de liaisons Si-O et l’élimination des groupes méthyles.Mais cette technique présente l’inconvénient majeur d’être très sensible à la profondeurà traiter. Le risque d’induire des gradients de traitement en profondeur dans le film estimportant.

Un deuxième moyen d’assister la température est le faisceau d’électrons [Fuj03, Ito05].Divers procédés ont été développés pour pouvoir fournir un faisceau large et homogène d’élec-trons, à l’inverse d’un microscope électronique, pour couvrir la surface de la plaque. Ils sontbasés sur la génération d’électrons à partir d’un plasma, par exemple de type hélium, qui sontensuite séparés de cette zone et envoyés sur la plaque. Les principaux paramètres de traite-ment sont alors la dose reçue par unité de surface et le temps de traitement. Cette solutionpermet d’envisager des traitements de quelques minutes. Les électrons traitent l’ensembledu film, pour une efficacité homogène en profondeur [Yam03]. Il présente deux inconvénientsliés au faisceau très énergétique. Tout d’abord, les électrons ont une énergie bien supérieureà l’ensemble des énergies de dissociation des liaisons présentes dans le film. Cela conduit à larupture sous ce faisceau d’un grand nombre de liaison sans distinction possible. Le deuxièmepoint vient du fort pouvoir pénétrant des électrons qui peuvent atteindre la zone des tran-

1TMCTS : 1,3,5,7-tetramethylcyclotetrasiloxane

113

Page 126: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

sistors et dégrader leurs performances. C’est pourquoi ce traitement est maintenant mis decôté au profit du rayonnement ultraviolet.

Ce traitement apparaissant comme le plus prometteur est détaillé dans le paragraphesuivant. Il permet un traitement homogène et en profondeur comme les électrons mais avecune sélectivité sur les liaisons atteintes et sans toucher les transistors car il est absorbé parles couches de type barrière diélectrique. Bien que la conception d’une chambre de traitementpar ultraviolet pour une plaque de 300 mm de diamètre soit complexe, les techniques sontaujourd’hui connues et bien maîtrisées.

- Traitement thermique assisté par rayonnement ultraviolet -

Pour comprendre l’intérêt du rayonnement ultraviolet, il faut regarder les énergies misesen jeu par les différents traitements présentés juste avant (tableau 3.3). Le traitement ther-mique, pour des températures ne dépassant pas 450C, est une source d’énergie de faibleimportance par rapport à des traitements thermiques assistés par plasma ou par rayonne-ment ultraviolet. Pour ce qui est du faisceau électronique, il est clair que son énergie estlargement supérieure à celle des trois autres systèmes.

Tableau 3.3 – Énergie moyenne apportée par les différents types de traitement.

Thermique Plasma Ultraviolet Électronique

Énergie (eV) 0,01 ∼ 0,1 1 ∼ 5 2 ∼ 9 > 10000

Pour avoir une idée des effets de ces traitements sur les films SiOC poreux, il faut re-présenter les énergies de dissociation des principales liaisons mises en jeu (figure 3.26). Cesénergies sont dans une plage comprise entre 2,5 et 9 eV. Il devient évident que le faisceauélectronique influe sur l’ensemble de ces liaisons. Le traitement le plus adapté pour couvrircette gamme d’énergie de dissociation est celui basé sur le rayonnement ultraviolet. Pourles films SiOC poreux, les liaisons de type Si-CH3 sont à conserver, particulièrement pourle film Orion, car elles sont associées à la porosité. Il est donc nécessaire de pouvoir conser-ver ces liaisons intacts pour éviter de dégrader le taux de porosité du film, et de ce fait sapermittivité relative. En revanche, les liaisons de type Si-OH, Si-CH et Si-CH2 doivent êtreéliminées car elles ne participent ni à la structure poreuse du film ni à sa tenue mécanique.Ces liaisons doivent donc être rompues pour être remplacées par une liaison Si-O qui favo-risera la réticulation. En regardant la figure 3.26, le spectre en énergie de dissociation desliaisons à traiter est large et confondu avec celui des liaisons à préserver. Le rayonnementultraviolet présente l’avantage par rapport au faisceau d’électrons de pouvoir favoriser cer-taines longueurs d’onde. Cela permet, par exemple, de traiter les liaisons de type Si-OH enlimitant l’effet sur les liaisons Si-CH3. Nous allons voir plus loin comment cette sélectionpeut être obtenue.

L’étude menée sur l’Orion a été réalisée en collaboration avec la société Axcelis, quipropose une solution de traitement par ultraviolet des films SiOC poreux. Il n’a pas étépossible d’avoir accès à l’ensemble des caractéristiques de l’équipement, notamment le spectred’intensité de la source de rayonnement ultraviolet. Cependant, la description globale de

114

Page 127: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

0 2 4 6 80

100

200

300

400

500

Si-CH3

Si-H

Si-C

Si-OHSi-CH2

Si-CH

Long

ueur

d'o

nde

(nm

)

Energie de liaison (eV)

Si-O

Large spectre à traiter

Figure 3.26 – Énergies de dissociation des liaisons présentes dans un film SiOC poreux avecleurs longueurs d’onde associées.

l’équipement nous a été communiquée et elle permettra de mieux comprendre les intéractionsentre le rayonnement et notre film.

Un schéma descriptif de la chambre est donné sur la figure 3.27. Le système, pour obtenirle rayonnement ultraviolet, se compose d’un générateur d’ondes radiofréquence ou micro-onde (non représenté sur la figure) qui vient exciter un bulbe qui va émettre le rayonnementultraviolet. Différents bulbes sont disponibles qui possèdent tous un spectre d’émission largemais qui transmettent certaines parties de ce spectre avec plus ou moins d’intensité. Lesdétails de ces bulbes ne nous ont pas été communiqués mais ils sont classés comme suit :

– bulbe A : il est optimisé pour traiter les film SiOC denses en favorisant la réticulation.Il permet d’améliorer le module d’élasticité et la dureté de ces films ;

– bulbe B : il présente les mêmes effets que le précédent bulbe mais pour les films SiOCporeux avec une optimisation pour le retrait des agrégats sacrificiels de l’approcheporogène ;

– bulbe C : ce bulbe est dédié aux films à faible permittivité relative de type polymèreorganique ;

– bulbe D : ce dernier bulbe est proche du bulbe B sans l’aspect retrait du porogène.Cette « filtration » à la source est complétée par une grille en quartz en sortie de la chambrequi permet d’améliorer la sélection en longueur d’onde. Le bulbe est placé au centre d’unecloche en matériau réflecteur pour permettre de renvoyer un maximum du rayonnement versla fenêtre en quartz et répartir celui-ci de manière homogène sur la surface en regard de laplaque de silicium à traiter. Cette plaque repose sur un support chauffant et l’atmosphèrede l’enceinte est régulée en pression. Il est possible de choisir deux types de gaz dans lachambre : soit une atmosphère neutre, soit une atmosphère réductrice. Le support chauffantpermet d’atteindre une température de 400C pour offrir un traitement thermique assisté

115

Page 128: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

par rayonnement ultraviolet. Il est en outre possible de découper le traitement en plusieursétapes avec et sans rayonnement ultraviolet.

Figure 3.27 – Schéma de principe de l’équipement de traitement thermique assisté parrayonnement ultraviolet.

L’équipement offre donc un ensemble de combinaisons de paramètres de traitement im-portant qu’il n’est pas possible d’étudier en totalité pour le film Orion. Nous avons faitcertains choix parmi les configurations possibles en fonction de l’expérience d’Axcelis sur letraitement des films SiOC poreux et des spécificités de notre film Orion. Nous avons évaluéles bulbes A et B qui sont les traitements standards pour les films SiOC denses et poreux.La température du support chauffant a été fixée à 400C pour augmenter au maximuml’efficacité du traitement. Dans certains cas, nous avons évalué l’effet d’un pré-traitementen température sans faisceau ultraviolet (ou préchauffage). Nous avons testé les deux typesde gaz. Enfin, la variable principale choisie pour cette évaluation a été le temps de traite-ment. La plage d’étude est limitée entre 2 et 15 minutes pour rester dans les conditions d’untraitement utilisable dans une ligne de production.

L’étude, qui est présentée dans les deux sous-sections suivantes, se découpe en quatrephases. Nous avons d’abord évalué le traitement thermique assisté par rayonnement ultra-violet en remplacement du traitement thermique assisté par plasma d’hydrogène du procédéstandard Orion. Ensuite, le traitement assisté par ultraviolet a été évalué en supplément,d’abord sur un film épais monocouche puis sur l’approche multicouche. Enfin, l’effet dutraitement assisté par ultraviolet a été évalué en fonction de l’épaisseur de la couche denseprésente à la surface du film Orion.

3.3.2 Traitement ultraviolet sur monocouche épaisse ou multicouche

Le traitement thermique assisté par rayonnement ultraviolet a été envisagé soit en lieu etplace du traitement standard de l’Orion, à savoir le traitement thermique assisté par plasmad’hydrogène, soit en plus de ce traitement. La figure 3.28 rappelle les étapes que va subir

116

Page 129: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

le film selon le procédé envisagé. Le but du traitement alternatif est double : vérifier lafaisabilité du phénomène de restructuration par rayonnement ultraviolet et observer l’effetde ce rayonnement sur le film Orion. Cette première étude a servi ensuite de base pourévaluer le traitement additif. Les effets attendus sur le film pour ces deux traitements sontassez différents. Le traitement alternatif doit permettre de former la porosité et d’améliorerla réticulation du film pour augmenter ces propriétés mécaniques. Pour le traitement additif,la porosité est déjà présente, il faut donc la conserver tout en augmentant la réticulation dela matrice pour permettre une amélioration de la tenue mécanique du film.

Figure 3.28 – Procédés pour évaluer le traitement thermique assisté par ultraviolet sur lefilm Orion.

- Traitement alternatif -

Pour le traitement alternatif, 6 conditions de recuit assisté par ultraviolet ont été testées(voir le tableau 3.4). Pour chaque condition, deux films, l’un de 460 nm et l’autre de 870 nmd’épaisseur, ont été déposés avec le module de dépôt de l’équipement Trikon. Ces deux filmssont utilisés pour obtenir avec le traitement thermique assisté par plasma le film d’épaisseur560 nm pour la mesure de permittivité relative et le film monocouche épais de 1 µm pourle mesure de nanoindentation. L’utilisation de la méthode multicouche pour la mesure despropriétés mécaniques étant difficile à mettre en place avec un traitement réalisé en dehors dusite pour chaque couche, nous avons adopté cette approche à deux épaisseurs pour évaluer lesparamètres critiques et le meilleur compromis du procédé ultraviolet. Pour caractériser l’effetdu traitement, les mesures d’épaisseur, d’indice de réfraction, de permittivité relative et lasignature infrarouge ont été réalisées sur le film de 560 nm, le film épais servant seulementpour la mesure de nanoindentation.

Dans le procédé Orion standard, l’épaisseur et l’indice de réfraction du film après l’étapede dépôt sont respectivement de 460 nm et 1,43. Le recuit standard, assisté par un plasmad’hydrogène, entraîne une augmentation de l’épaisseur du film d’environ 100 nm et uneréduction de l’indice de réfraction vers 1,32. Dans le cas des traitements alternatifs assistéspar ultraviolet, quelque soient les conditions testées, l’épaisseur du film est comprise entre410 et 420 nm avec un indice de réfraction variant entre 1,36 et 1,38. Il semble donc quele traitement n’ait pas permis de restructurer le film. Les mesures de permittivité relativeconfirment cette hypothèse puisque les valeurs sont comprises entre 2,8 et 3.

117

Page 130: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

Tableau 3.4 – Conditions testées pour le traitement thermique assisté par ultraviolet dansle procédé alternatif (en rouge le paramètre qui change par rapport à la condition 1.

Condition Type de Température Type de Temps (s)

n. bulbe ( C) gaz Sans UV Avec UV

01 A 400 Neutre 90 300

02 B 400 Neutre 90 300

03 A 300 Neutre 90 300

04 A 400 Réducteur 90 300

05 A 400 Neutre 0 300

06 A 400 Neutre 90 120

Pour essayer de mieux comprendre ce qui s’est passé, les spectres FTIR ont été analysés(figure 3.29). Pour pouvoir comparer le film après traitement ultraviolet avec les deux réfé-rences que sont le film Orion après dépôt et après recuit assisté plasma, il faut comparer lasignature de la matrice. Lors de la mesure par FTIR, le volume de matière analysé corres-pond à l’ensemble du film, à savoir matrice et porosité si elle est présente. Pour la figure 3.29,les spectres d’absorbance ont été normalisés selon l’épaisseur de chacun des films ainsi qu’enfonction de leur densité. Ceci permet de comparer directement les spectres en terme de pro-portion de liaisons constituant la matrice [Cia06]. Pour les trois films, l’épaisseur est connuemais la densité n’a été mesurée que pour le film ayant subi le procédé Orion standard com-plet (dépôt + recuit assisté plasma). Nous avons donc pris l’hypothèse que la densité du filmaprès dépôt et après recuit assisté par ultraviolet était légèrement inférieure à celle du filmSiOC dense (ρ=1,2 g.cm−3), respectivement à cause du dépôt à froid ou à cause de la réduc-tion de la permittivité relative. Pour le traitement assisté par ultraviolet, le pic OH de l’étapede dépôt a disparu et il apparaît un épaulement marqué sur le pic Si-O-Si caractéristique dela structure cage. Le film a donc subi une restructuration contrairement à ce que laissaientsupposer les résultats électriques. Cependant, en comparant ce pic à celui du film ayant subile recuit assisté plasma (procédé Orion standard), l’épaulement est moins marqué alors quele pic est plus haut, et légèrement décalé vers un nombre d’onde plus faible. Ceci indiqueque le film, après recuit assisté par ultraviolet, possède une structure plus dense que le filmaprès recuit assisté par plasma (décalage du pic vers les nombres d’onde inférieurs), avecune proportion de liaisons Si-O-Si de type réseau plus grande et une proportion de liaisonsSi-O-Si cage plus faible. La restructuration n’a pas permis la formation de porosité mais afavorisé la réticulation du film. Deux scénarios peuvent avoir conduit à ce résultat. Dans lepremier cas, la restructuration s’est produite avec une ampleur limitée conduisant à un filmSiOC sans structure poreuse. La matrice est suffisamment déformée autour des groupementsméthyles pour permettre d’atteindre une permittivité relative intermédiaire de 2,8. Dans ledeuxième cas, la restructuration suit le même fonctionnement que pour le film ayant subi lerecuit assisté plasma. La porosité se forme autour des groupements méthyles partout dans lefilm. Cependant, cette structure poreuse qui se forme très rapidement ne peut se maintenirmécaniquement en l’absence de la formation de la zone dense en surface. Le prolongement du

118

Page 131: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

1000 2000 3000 40000,0000

0,0001

0,0002

0,0003

0,0004

1000 1100 1200 1300 14000,0000

0,0001

0,0002

0,0003

0,0004

Abs

orba

nce

(u.a

.)

Nombre d'onde

Dépôt Thermique assisté plasma Thermique assisté UV

Figure 3.29 – Spectre FTIR du film Orion après traitement alternatif ultraviolet comparéaux spectres du film Orion avec le procédé standard.

traitement ultraviolet conduit alors à l’affaissement de la structure poreuse pour donner unfilm SiOC dense. Il est possible d’envisager d’autres hypothèses qui conduisent au résultatprésenté plus haut. Quelles qu’elles soient, le traitement alternatif ne permet pas d’avoirla permittivité relative désirée. Nous avons donc regardé en détail l’effet de ce traitementsur les propriétés mécaniques pour déterminer les paramètres influents pour l’évaluation dutraitement additif.

À partir des 6 conditions testées, la mesure par nanoindentation sur les films épais nousa donné les résultats du tableau 3.5. Pour chaque propriété mesurée, les meilleurs résultatssont soulignés en bleu, les plus défavorables en rouge. Il est à noter que les courbes dumodule réduit d’élasticité et de la dureté présentaient une forme proche de celle des filmshomogènes. Pour ces mesures, nous avons donc utilisé la méthode de la régression linéairepour déterminer les propriétés mécaniques. Les mesures montrent que le temps de traite-ment le plus court permet d’avoir la plus faible permittivité relative mais au détriment despropriétés mécaniques qui sont médiocres, inférieures à celles du film poreux. La réductionde la température de traitement est aussi défavorable bien que les propriétés mécaniquessoient meilleures que le film poreux. Les conditions les plus intéressantes, du point de vuemécanique, sont la première et celle sans étape de recuit sans le faisceau ultraviolet, soit la1 et la 5. L’utilisation d’un gaz réducteur semble avoir un effet négatif sur les propriétésmécaniques.

119

Page 132: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

Tableau 3.5 – Mesure des propriétés mécaniques par nanoindentation sur film épais pourles 6 conditions du traitement alternatif comparé au traitement standard par recuit assistépar plasma H2.

Condition Paramètre Permittivité Module de Dureté

n. modifié relative Young réduit (GPa) (GPa)

Réf. Plasma H2 2,28 1,16 0,15

01 Recette standard⋆ 2,89 2,87 0,45

02 Bulbe B 2,83 2,13 0,67

03 Temp. 300 C 2,94 1,94 0,45

04 Gaz réducteur 2,87 1,93 0,12

05 Pas de pré-chauffe 2,86 2,96 0,63

06 Temps UV court 2,79 1,15 0,06⋆ Bulbe A, temp. 400C, gaz neutre.

Pour conclure sur cette approche alternative, le traitement thermique assisté par rayon-nement ultraviolet ne permet pas de former la porosité (ou de la maintenir) nécessaire pouratteindre la permittivité relative requise. Cependant, l’effet de ce traitement montre qu’ilest possible d’améliorer les propriétés mécaniques d’un film tout en réduisant ou en mainte-nant constante sa permittivité relative. De plus, cette première étude a permis de mettre enévidence les paramètres intéressants pour traiter les films de type SiOC du procédé. Nousallons maintenant voir l’effet de ce traitement en position additive.

- Traitement additif -

Pour ce traitement, nous avons fixé la température du support à 400C, avec le bulbe Aet sans préchauffage. Les conditions évaluées sont présentées dans le tableau 3.6. Un nouveautype de gaz neutre, proposé par Axcelis et noté neutre B, a été évalué. Différents temps detraitement ont été testés pour observer la cinétique du procédé sur les propriétés du film.Comme pour le traitement alternatif, les caractéristiques ont été évaluées sur un film de600 nm d’épaisseur et un film épais de 1,1 µm a servi pour la détermination des propriétésmécaniques.

Tableau 3.6 – Conditions testées pour le traitement thermique assisté par ultraviolet enposition additive.

Condition n. 01 02 03 04 05 06 07 08

Type de gaz Neutre A Neutre B Réducteur

Temps (min.) 2 5 8 15 2 5 2 5

120

Page 133: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

L’épaisseur du film a été mesurée avant et après le traitement additif. La figure 3.30(a)présente la variation mesurée sur l’ensemble des 8 conditions testées. Ce traitement entraîneune diminution de l’épaisseur du film pour tous les échantillons sauf dans le cas du filmtraité 5 minutes avec le gaz A. Il semble que cet échantillon n’ait pas le comportement at-tendu et qui n’a pas pu être expliqué. Les différents gaz provoquent des comportements assezdifférents en fonction du temps de traitement. En effet, le gaz réducteur et le gaz neutre Bfavorisent un « écrasement » du film plus important lorsque le temps de traitement aug-mente, comportement qui était attendu. En revanche, le gaz neutre A donne l’effet inverse.Le traitement le plus long est celui qui réduit le moins l’épaisseur du film. Les tendances surl’indice de réfraction ne sont pas présentées ici mais elles suivent la mesure d’épaisseur. Nousavons ensuite mesuré la permittivité relative de ces différents échantillons (figure 3.30(b)).La barre verticale indique sur la figure la mesure de 2,28 obtenue sur le film avant le traite-ment additif. Celui-ci entraîne une augmentation de la permittivité relative du film dans tousles cas mais qui reste très réduite, moins de 0,1 (valeur proche de l’incertitude de mesure).Les tendances pour le gaz neutre B et le gaz réducteur semblent suivre la variation d’épais-seur. En augmentant le temps de traitement, le film se densifie de manière plus importante,son épaisseur est donc réduite et sa permittivité relative augmente. Toutefois, deux pointsseulement par condition (neutre A et réducteur) ne permettent pas une conclusion définitive.

-25 -20 -15 -10 -5 0 5

5 min.

2 min.

5 min.

2 min.

15 min.

8 min.

5 min.

Variation d'épaisseur (nm)

Réducteur Neutre B Neutre A

2 min.

(a) Variation de l’épaisseur des films due au traite-ment additif.

2,0 2,1 2,2 2,3 2,4 2,5

15 min.

8 min.

5 min.

5 min.

5 min.

2 min.

2 min.

Permittivité relative

Réducteur Neutre B Neutre A

Référence sans UV

2 min.

(b) Mesure de la permittivité relative des films trai-tés avec le procédé additif.

Figure 3.30 – Effets du traitement additif sur l’épaisseur et la permittivité relative du filmOrion.

Les propriétés mécaniques ont été déterminées à partir des courbes de mesure obtenuessur les films épais selon la méthode du minimum puisque ces films présentent le gradientde porosité en profondeur du procédé Orion. L’ensemble des courbes de charge pour les 8échantillons présente un plateau pour une profondeur de contact comprise entre 15 et 20 %de l’épaisseur du film (figure 3.31(a)). Ce plateau, comme nous l’avons vu dans la sectionprécédente, peut indiquer soit un écrasement de la zone poreuse du film, soit un décollementà l’interface avec le substrat durant l’essai de nanoindentation. Nous verrons par la suite que

121

Page 134: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

l’hypothèse de l’écrasement semble la plus probable. Le plus surprenant est que l’ensembledes échantillons présente ce phénomène. Cela a une conséquence majeure sur la déterminationdes propriétés mécaniques. La figure 3.31(b) présente la courbe du module réduit d’élasticitéet de la dureté d’un des échantillons. Cet « accident » se produit juste après le plateau duminimum pour le module réduit d’élasticité mais avant celui pour la dureté. Il n’est doncpas possible de déterminer de manière fiable la dureté pour cette série d’expériences.

0,0 0,1 0,2 0,3 0,4 0,50,0

0,5

1,0

1,5

2,0

Cha

rge

(mN)

Profondeur de contact / épaisseur du film

Plateau :écrasement de la zone poreuse

oudécollement du substrat

(a) Courbes de charge lors de l’essai d’indentationsur les échantillons ayant subis le traitement ultra-violet additif.

0,0 0,1 0,2 0,3 0,4 0,51

2

3

4

5

6

7

8

Profondeur de contact / épaisseur du film

Er (

GP

a)

Zone du plateau

0,0

0,2

0,4

0,6

0,8

1,0

H (G

Pa)

(b) Courbes du module réduit d’élasticité et de ladureté pour une des conditions du traitement addi-tif.

Figure 3.31 – Courbes obtenues par nanoindentation sur les films traités avec le procédéultraviolet additif.

Les courbes de la figure 3.32 présentent l’évolution du module réduit d’élasticité en fonc-tion de la durée du recuit pour les trois types de gaz. A l’inverse de ce qui avait été soulignéavec la mesure d’épaisseur, le comportement des trois gaz est globalement similaire : l’aug-mentation du temps de traitement permet d’améliorer la tenue mécanique du film. Maisl’efficacité du recuit est très variable d’un gaz à l’autre. Le gaz réducteur semble le moinsinfluent avec une amélioration du module réduit d’environ 30% pour un traitement de 5 mi-nutes. Les gaz neutres A et B améliorent cette valeur respectivement de 55 et 65% pour lemême temps de traitement. C’est donc le gaz B qui semble avoir la plus grande efficacité.Il permet selon la figure 3.32 d’atteindre la même valeur que le gaz neutre A en terme demodule réduit d’élasticité pour un temps plus court de 3 minutes. Hormis pour le gaz réduc-teur, le phénomène ne semble pas atteindre une saturation de son efficacité pour des duréesde l’ordre de 10 minutes. L’évolution de ces courbes est en bon accord avec d’autres étudesmenées sur différents matériaux SiOC, denses ou poreux [Got05, Iac05, Kat05]. Cependantl’amélioration est relativement réduite par rapport aux effets mesurés sur ces autres films.L’hypothèse pour expliquer cette efficacité réduite est la présence de la couche dense en sur-face du film Orion. De type SiOx, elle pourrait absorber ou réfléchir une partie importantedu flux ultraviolet. Ceci expliquerait le plateau observé sur l’ensemble des courbes de na-noindentation (figure 3.31(a)). Dans ce cas, le rayonnement ultraviolet traite principalementla zone de surface et faiblement la zone proche du substrat, c’est à dire celle qui est la plusporeuse et qui nécessiterait l’augmentation de réticulation. Ce traitement augmenterait donc

122

Page 135: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

0 2 4 6 8 10 12 14 161,0

1,2

1,4

1,6

1,8

2,0

2,2

2,4

Er (

GP

a)

Temps de traitement

Neutre A Neutre B Réducteur

Figure 3.32 – Influence du temps de traitement et du type de gaz du procédé ultravioletadditif sur le module réduit d’élasticité du film Orion.

l’écart entre la tenue mécanique de la zone dense de surface et celle de la zone poreuse. Lorsde la pénétration de l’indenteur, le film se comporte alors comme une bicouche fortementinhomogène en profondeur. La partie poreuse ne peut supporter la charge appliquée, quiprovoque l’écrasement de cette zone.

En résumé, ce premier résultat montre l’intérêt majeur d’un tel traitement pour compen-ser les faiblesses mécaniques du film Orion, d’autant plus que les durées de traitement sontcourtes. Cependant, nous avons aussi mis en lumière que la mesure par nanoindentation surla monocouche épaisse induisait un artefact (probablement dû à un écrasement de la zoneporeuse) qui empêchait la détermination de la dureté. De plus l’efficacité de ce traitementsemble limitée par rapport aux résultats obtenus avec d’autres films poreux. Pour contournerces phénomènes, nous avons donc appliqué la méthode de mesure sur multicouche dévelop-pée dans la section précédente pour évaluer l’amélioration des propriétés mécaniques du filmmince (et non plus de la monocouche épaisse) par ce traitement additif.

- Évaluation sur multicouche -

Du fait de la complexité d’enchaînement pour traiter la multicouche sachant que lesétapes de dépôt et de traitement additif sont réalisées sur deux sites différents, nous avonslimité cette investigation à une seule condition de recuit additif qui a démontré la plusgrande efficacité : le gaz neutre B pour un temps de traitement de 8 minutes. L’empilementest composé de trois couches de 300 nm. Deux autres échantillons ont été rajoutés avec untraitement plasma aux interfaces (hélium ou hydrogène) pour éviter d’éventuels décollementslors de l’essai de nanoindentation (voir la sous-section 3.2.3).

123

Page 136: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

La permittivité relative, mesurée sur un monocouche de 300 nm, est dégradée d’environ6% par le recuit ultraviolet. La valeur passe de 2,4 après dépôt à 2,54 après traitement ad-ditif. Pour les propriétés mécaniques, les résultats sont présentés sur la figure 3.33. Ils sontcomparés aux résultats obtenus sur les empilements non traités de la sous-section 3.2.3. Letraitement ultraviolet permet d’obtenir des caractéristiques mécaniques proches de celles del’empilement avec plasma d’hélium aux interfaces non traité. En revanche, l’effet bénéfiquedu plasma d’interface hydrogène est complètement annihilé par le recuit additif. Ces résultatssont surprenants puisqu’aucune amélioration n’est constatée par rapport aux empilementsavec plasma d’interface alors que le traitement additif a démontré son efficacité sur la mo-nocouche épaisse.

0

1

2

3

4

5

6

Avec plasma H2

aux interfacesAvec plasma Heaux interfaces

Er (

GP

a)

Sans traitement UV Avec traitement UV

Référence sans plasma d'interface

(a) Influence du traitement additif sur le module ré-duit d’élasticité pour trois couches de 300 nm empi-lées .

0,0

0,2

0,4

0,6

0,8

1,0

Référence sans plasma d'interface

Avec plasma H2

aux interfacesAvec plasma Heaux interfaces

H (G

Pa)

Sans traitement UV Avec traitement UV

(b) Variation de la dureté avec le traitement additifpour trois couches de 300 nm empilées.

Figure 3.33 – Influence du traitement additif sur les propriétés mécaniques de multicouchesOrion déterminées par nanoindentation.

Ces résultats mitigés s’expliquent par la combinaison de la zone poreuse fragile exacerbéepar le traitement ultraviolet et la propagation de fissures aux interfaces.

En observant l’empreinte laissée par l’indenteur (figure 3.34) pour l’empilement qui n’asubi aucun traitement aux interfaces, le problème de décollement est toujours présent malgréle traitement ultraviolet mais dans une moindre mesure par rapport à l’empilement sansaucun traitement (figure 3.34). De plus, les courbes de charge indiquent la présence d’unplateau proche des zones de mesure. Celui-ci n’a pas gêné la détermination des propriétéscomme précédemment. Cependant, ce probable écrasement d’une partie de la zone poreusealors que les films testés sont relativement fins indique que le recuit ultraviolet ne traite pasle film en profondeur du point de vue mécanique. Les valeurs obtenues ne peuvent dépassercelles de référence, voire sont inférieures à cause de cet effet d’accentuation de l’inhomogénéitédu film qui le fragilise. La couche dense de surface est donc un frein important à l’efficacitédu rayonnement ultraviolet.

124

Page 137: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

Figure 3.34 – Observation en coupe par MEB de l’empreinte laissée par l’indenteur pourles empilements sans traitement aux interfaces, avec ou sans traitement ultraviolet.

3.3.3 Influence de la couche dense de surface

La zone dense de surface du film, induite par le procédé Orion, correspond à une couchegradée qui va d’une composition de type SiOx en surface vers une composition de type SiOCdense plus en profondeur. La porosité apparaît graduellement en profondeur en terme detaille et de quantité de pores. Cette zone de surface peut donc absorber ou réfléchir unepartie du rayonnement ultraviolet. Nous avons retiré cette zone de surface à l’aide d’untraitement dérivé de celui développé pour la mesure par éllipsométrie porosimétrique. Cetraitement est un plasma de gravure basé sur une chimie fluoro-carbonnée. Les conditions decette étape sont données dans le tableau 3.7. La vitesse de gravure présentée dans le tableauva du simple au double. En effet, un film Orion épais présente une surface moins dense qu’unfilm fin, la vitesse de gravure du film épais est donc plus grande. De plus, comme le filmprésente un gradient de densité en profondeur, cette vitesse varie en fonction du temps degravure. Il est donc nécessaire pour chaque épaisseur du film de départ et chaque épaisseurà graver d’ajuster le temps de gravure. Pour plus de clarté, le film avec le procédé Orion

Tableau 3.7 – Conditions du traitement de gravure pour retirer la zone dense de surface.

Chimie de gravure Vitesse de gravure Puissance Température Pression

(débit) (nm.s−1) (W) du support mTorr

C4F8 0,5 → 1 770 400C 1000

(320 sccm) (film fin → film épais)

125

Page 138: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

standard sans retrait de la zone dense sera appelé film non gravé ou standard alors que lemême film ayant subi la gravure de la zone dense sera nommé par la suite film gravé. Commepour le film non gravé, nous avons tout d’abord observé l’effet du traitement additif sur lamonocouche puis sur la multicouche.

- Traitement additif sur monocouche épaisse -

L’évaluation sur monocouche s’effectue selon deux épaisseurs, comme précédemment.Pour pouvoir comparer les effets entre film gravé et film non gravé, les épaisseurs finalessont constantes. Cela signifie que pour le film gravé, l’épaisseur du film après recuit assistéplasma est de l’ordre de 700 nm et que le temps de gravure est fixé pour retirer 100 nm dufilm pour obtenir une épaisseur finale de 600 nm. Cette proportion a été maintenue constantepour le film épais.

Les conditions qui ont été testées pour ce traitement additif sont présentées dans letableau 3.8. Les paramètres constants sont la température de traitement, fixée à 400C,l’absence de préchauffage et le choix du bulbe A. Comme pour l’évaluation sur le film nongravé, nous avons testé les trois types de gaz pour des temps allant de 2 à 8 minutes, sauf legaz neutre A qui a été testé jusqu’à 15 minutes. Le but est de pouvoir comparer les résultatsavec et sans la couche dense pour mettre en évidence son influence et obtenir une ébauchedu comportement de chacun des gaz en fonction du temps d’exposition sur le film Orion.

Tableau 3.8 – Conditions du traitement additif assisté par ultraviolet testées sur le filmOrion gravé.

Condition n. 01 02 03 04 05 06 07 08 09 10

Type de gaz Neutre A Neutre B Réducteur

Temps (min.) 2 5 8 15 2 5 8 2 5 8

La figure 3.35(a) présente la variation de l’épaisseur du film selon le traitement additifultraviolet appliqué. Contrairement à ce qui a été observé pour le film non gravé, le traite-ment ultraviolet entraîne une diminution de l’épaisseur du film, qui augmente avec le tempsde traitement pour les deux gaz neutres. Cette variation n’est pas linéaire mais ne dépassepas 18% pour des temps de l’ordre de 10 minutes. Le comportement du gaz réducteur estlégèrement différent et il semble que cette diminution soit à peu prés constante quelque soitle temps de traitement, entre 14 et 15%. Ces valeurs sont en bon accord avec la littéra-ture [Got05, Iac05, Kat05].

Pour la permittivité relative (figure 3.35(b)), le retrait d’une partie de la couche dense desurface permet de réduire cette valeur avant traitement additif par rapport au film non gravé :pour un film d’épaisseur constante de 600 nm, celle-ci passe de 2,28 à 2,2. Le comportementavec la durée du traitement est identique pour les trois gaz. Un temps court entraîne uneaugmentation de la valeur de permittivité relative. En prolongeant le traitement, cette haussedisparaît et il est possible avec les gaz neutres d’obtenir une valeur proche de la valeur avanttraitement. Le cas du gaz réducteur est une nouvelle fois particulier puisqu’un traitementlong ne permet pas de réduire suffisamment la permittivité relative, la dégradation reste de

126

Page 139: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

0 2 4 6 8 10 12 14 1610

12

14

16

18

20

Dim

inut

ion

d'ép

aiss

eur (

%)

Temps de traitement (min.)

Neutre A Neutre B Réducteur

(a) Variation de l’épaisseur du film Orion gravé sousl’effet du traitement ultraviolet.

0 2 4 6 8 10 12 14 162,1

2,2

2,3

2,4

2,5

2,6

2,7

Per

mitt

ivité

rela

tive

Temps de traitement (min.)

Neutre A Neutre B Réducteur

(b) Permittivité relative du film Orion gravé aprèstraitement ultraviolet additif.

Figure 3.35 – Effets du traitement additif sur l’épaisseur et la permittivité relative du filmOrion gravé.

l’ordre de 0,25. L’hypothèse pour expliquer ce comportement avec la durée de traitementest basée sur les temps nécessaires pour casser les liaisons et les reformer. Le rayonnementultraviolet permet de casser rapidement les liaisons pour permettre la réorganisation dufilm, mais cette dernière, qui est activée par la température prend plus de temps. Ainsi, untraitement court permet de casser un grand nombre de liaisons mais d’en réorganiser peu. Lefilm est donc dans une configuration intermédiaire, certainement avec de nombreuses liaisonspendantes. L’absence de la couche dense permet la contamination du film par l’eau, ce quientraîne une dégradation importante de la permittivité relative. Au contraire, pour une duréeplus longue de traitement, la structure a le temps de se réorganiser, ce qui permet d’aboutirà peu de liaisons pendantes. La porosité est conservée puisque le faisceau ultraviolet touchepeu les groupements méthyles (d’après les mesures FTIR). On obtient donc une permittivitérelative proche de celle du film avant traitement mais avec une matrice réorganisée. Cetteréorganisation doit être bénéfique pour la tenue mécanique du film.

La figure 3.36 présente l’évolution du module de Young réduit et de la dureté en fonctiondu temps de traitement et du type de gaz. La valeur de ces paramètres n’a pas été prise auminimum des courbes de nanoindentation mais selon la règle de la régression linéaire entre6 et 12% de profondeur de contact. En effet, le retrait de la zone dense de surface conduit àun film plus homogène. Les courbes présentent une évolution proche de celle mesurée sur lesfilms poreux homogènes (voir la figure 3.12 page 96). La valeur du minimum est sensible àl’incertitude de contact, c’est pourquoi la détermination des paramètres mécaniques par laméthode de régression linéaire a été utilisée.

Inversement à la permittivité relative, le retrait de la couche dense de surface du filmentraîne une dégradation des propriétés mécaniques. Le film gravé avant traitement possèdedonc des performances mécaniques médiocres avec un module réduit d’élasticité de 0,7 GPaet une dureté de 0,07 GPa. Le traitement additif permet d’améliorer fortement ces deux

127

Page 140: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

0 2 4 6 8 10 12 14 160,5

1,0

1,5

2,0

2,5

3,0

Er (

GP

a)

Temps de traitement (min.)

Neutre A Neutre B Réducteur

(a) Module réduit d’élasticité en fonction du tempsde traitement et du type de gaz.

0 2 4 6 8 10 12 14 160,0

0,1

0,2

0,3

0,4

0,5

0,6

0,7

H (G

Pa)

Temps de traitement (min.)

Neutre A Neutre B Réducteur

(b) Influence du temps de traitement et du type degaz sur la dureté du film gravé.

Figure 3.36 – Influence du recuit ultraviolet additif sur les propriétés mécaniques du filmOrion gravé.

propriétés pour tous les types de gaz (figures 3.36(a) et 3.36(b)). Cet effet atteint son maxi-mum d’efficacité dès 10 minutes de traitement. Contrairement au film non gravé, un effetde saturation du traitement apparaît pour les longues durées. Les traitements avec le gazneutre B ou le gaz réducteur sont les plus efficaces, ils permettent notamment d’atteindreles mêmes performances mécaniques que le gaz neutre A avec un temps réduit de moitié.Ils sont donc de meilleurs catalyseurs à la réorganisation de la structure. En prenant lesvaleurs obtenues avec le temps de traitement de 8 minutes, l’amélioration du module réduitélastique est de l’ordre de 250% pour le gaz neutre B par exemple et pour la dureté cettevaleur atteint 600%. Ces chiffres paraissent énormes, surtout lorsque la dégradation en re-gard de la permittivité relative est aussi faible, à peine 2%. Il faut garder en mémoire quela mesure de ces deux types de propriété est réalisée pour deux épaisseurs différentes, c’està dire quasiment le double de l’une par rapport l’autre. Ainsi, le traitement additif inter-vient globalement sur deux fois moins de matière pour la mesure de permittivité que pourles propriétés mécaniques. Comme nous l’avons vu pour le traitement du film non gravé, lacaractérisation sur multicouche nous permettra de conclure plus clairement de l’intérêt dece traitement sur un film fin (300 nm). En revanche, ces épaisseurs importantes permettentde comprendre les mécanismes de fonctionnement du traitement ultraviolet sur le film SiOCporeux.

Les signatures infrarouges des différents échantillons ont été analysées pour comprendreces comportements. La figure 3.37 présente deux exemples qui illustrent les variations ob-servées sur les spectres. Les changements les plus importants interviennent sur le pic Si-O-Siqui représente la majeure partie de la matrice. Le pic Si-CH3, vers 1270 cm−1, ne varie nien fonction du temps de traitement ultraviolet (figure 3.37(a)) ni en fonction du type de gazemployé (figure 3.37(b)). Cela confirme la sélectivité du traitement ultraviolet proposé quipermet de conserver les liaisons à l’origine de la formation de la porosité. Pour le pic Si-O-Sien fonction du temps de traitement (figure 3.37(a)), les deux contributions majeurs, celle de

128

Page 141: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

la structure réseau vers 1060 cm−1 et celle de la structure cage vers 1130 cm−1, augmententavec le temps de traitement. Cela confirme les tendances observées sur les propriétés méca-niques. Sous l’effet du rayonnement ultraviolet, les liaisons se réorganisent pour former unematrice mieux réticulée qui conserve la structure poreuse. Cette mesure par FTIR présentedans ce cas des limites, car pour la comparaison entre les trois types de gaz (figure 3.37(b)),il semble qu’il n’y ait pas de changement alors que les valeurs de tenue mécanique sontdifférentes. La mesure en transmission qui est faite ici n’est pas suffisamment sensible. Ceciest aussi vrai pour la mesure des liaisons OH qui pourraient être à l’origine de l’augmentationde permittivité relative pour les temps courts de traitement et qui n’a pas pu être mise enévidence.

1000 1200 1400 16000,0000

0,0001

0,0002

0,0003

0,0004

Abs

orba

nce

(u.a

.)

Nombre d'onde (cm-1)

Sans traitement 2 min. UV 5 min. UV 8 min. UV 15 min. UV

(a) Influence du temps de traitement sur le pic Si-O-Si.

1000 1200 1400 16000,0000

0,0001

0,0002

0,0003

0,0004

Abs

orba

nce

(u.a

.)

Nombre d'onde (cm-1)

Sans traitement Neutre A, 8 min. Neutre B, 8 min. Réducteur, 8 min.

(b) Pic Si-O-Si pour différents gaz du traitement ad-ditif.

Figure 3.37 – Spectres infrarouges mesurés par FTIR du film Orion gravé après traitementadditif ultraviolet.

Ainsi, l’efficacité du traitement ultraviolet sur le film Orion est dépendante de la présenceou non de la couche dense de surface. Pour mieux sentir son influence, la figure 3.38(a)présente l’évolution du module réduit d’élasticité en fonction de la durée du recuit assistépar ultraviolet pour les deux types de film : avec et sans la couche dense. Avant traitement,le module réduit du film gravé par rapport au film non gravé est plus faible d’environ 37%du fait de l’absence de la couche dense. Cependant, avec le traitement ultraviolet additif, ilest possible d’obtenir les mêmes propriétés dès 8 minutes de temps d’exposition. L’évolutiondes courbes pour une durée inférieure met en évidence l’effet limitant de la couche dense desurface. Le comportement du film en terme de tenue mécanique devient identique pour lefilm gravé et celui non gravé au delà de 10 minutes de traitement. En prenant par exemple letraitement de 8 minutes, le film non gravé voit sa tenue mécanique augmenter de 65% environalors que cette augmentation est de plus de 150% pour le film gravé ce qui montre l’effetnéfaste de la couche dense de surface sur l’efficacité du traitement. Cette évolution identiquepour le film sans couche dense de surface et celui avec pour les temps de traitement lesplus longs n’est pas vrai dans le cas de la permittivité relative. La figure 3.38(b) représentel’ensemble des conditions du traitement additif testées sur le film gravé et non gravé en

129

Page 142: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

terme de permittivité relative en fonction du module réduit d’élasticité. Les valeurs de tenuemécanique les plus élevées, donc les plus intéressantes pour supporter les étapes d’intégrationet de mise en boîtier, peuvent être atteintes avec les deux types de film. Mais l’absence de lacouche dense permet de traiter le film de telle sorte qu’il apparaît un gain non négligeablesur la permittivité relative par rapport au film non gravé. Ce gain est de l’ordre de 0,1 à0,15 selon les conditions du traitement ultraviolet additif pour les tenues mécaniques les plusélevées.

0 5 10 150,5

1,0

1,5

2,0

2,5 Film gravé Film non gravé

Er (

GP

a)

Temps de traitement (min.)

-37%

+65%+175%

(a) Module réduit d’élasticité en fonction du tempsde traitement ultraviolet pour le gaz neutre A.

0,5 1,0 1,5 2,0 2,52,2

2,3

2,4

2,5

2,6 Film gravé Film non gravé

Per

mitt

ivité

rela

tive

Er (GPa)

(b) Permittivité relative en fonction du module ré-duit élastique pour l’ensemble des conditions du trai-tement additif.

Figure 3.38 – Influence du traitement additif ultraviolet sur le film Orion en fonction del’épaisseur de la couche dense de surface.

L’efficacité du traitement ultraviolet sur les films SiOC poreux est donc sensible à ladensité du film, notamment pour une composition de type SiOx. De plus les conditions dutraitement, comme le type de gaz, peuvent avoir un rôle important sur la durée du recuit et ladégradation de permittivité relative. Nous allons maintenant voir l’effet d’un tel traitementsur un film d’épaisseur comparable à celle nécessaire pour l’intégration et évalué dans unemulticouche.

- Traitement additif sur multicouche -

Pour l’évaluation sur multicouche, nous avons repris les conditions appliquées pour lefilm non gravé : gaz neutre B et 8 minutes de traitement. L’empilement reste identiqueavec trois couches de 300 nm d’épaisseur chacune. Les résultats sont comparés en terme detenue mécanique et de variation de capacité en fonction du type de traitement réalisé auxinterfaces : sans traitement, avec un plasma d’hélium ou d’hydrogène.

La figure 3.39 présente les mesures du module réduit d’élasticité et de la dureté en fonc-tion du type de film, gravé ou non, et selon le type de traitement appliqué aux interfaces.Le bénéfice du retrait de la couche dense de surface est clairement observable, et identique

130

Page 143: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.3. TRAITEMENT POUR L’OPTIMISATION DES PROPRIÉTÉS MÉCANIQUES

quelque soit le type de traitement aux interfaces. Le gain en terme de module réduit d’élas-ticité est de l’ordre de 50% et pour la dureté environ 100%. Les valeurs obtenues sont dumême ordre de grandeur que le film non gravé, empilé avec un traitement d’interface de typehydrogène et sans traitement ultraviolet. Le problème de recuit non uniforme ne permettantpas d’améliorer la rigidité de la partie poreuse est évité. L’observation par MEB d’une coupede l’empilement met en évidence l’absence de décollement aux interfaces même dans le casde la multicouche sans traitement d’interface. La pénétration du rayonnement ultravioletest suffisamment importante pour pouvoir traiter le film et l’interface sous-jacente, voire lacouche inférieure. L’utilisation d’un traitement d’interface n’a plus d’intérêt dans ce cas pourla mesure des propriétés mécaniques. Il semble à première vue que l’effet du recuit sur unempilement de couches gravées soit équivalent à un traitement d’hydrogène aux interfacesd’un empilement de couches non gravées pour la tenue mécanique.

0

1

2

3

4

5

6

7

Plasma H2

aux interfacesPlasma He

aux interfaces

Er (

GP

a)

Film non gravé Film gravé

Empilementstandard

(a) Module réduit d’élasticité pour le film gravé etnon gravé en fonction du traitement d’interface.

0,0

0,2

0,4

0,6

0,8

1,0

1,2

Plasma H2

aux interfacesPlasma He

aux interfacesEmpilement

standard

H (G

Pa)

Film non gravé Film gravé

(b) Dureté mesurée sur multicouche gravée et nongravée en fonction du traitement d’interface.

Figure 3.39 – Mesure des propriétés mécaniques sur trois couches de 300 nm empilées pourle film gravé et non gravé en fonction du traitement d’interface.

L’effet du traitement ultraviolet mis en évidence sur la monocouche épaisse dans la sectionprécédente se retrouve sur l’approche multicouche du point de vue de la tenue mécanique.Nous avons aussi comparé la variation de capacité de ces empilements après les différentstraitements. La figure 3.40 représente les résultats pour les deux types de film, toujours enfonction des conditions du traitement d’interface. Si le traitement sur monocouche épaissepermettait de maintenir la permittivité relative proche de celle avant traitement additif, cen’est pas le cas avec la multicouche. En effet, la capacité est globalement plus élevée pourle film gravé après le traitement ultraviolet de 8 minutes. Cette augmentation de capacitéde 5 pF environ, en tenant compte de l’épaisseur moyenne des multicouches de 900 nm,équivaut à une dégradation de la permittivité relative d’environ 0,3. Cela signifie que lefilm possède des propriétés mécaniques très intéressantes mais avec une permittivité relativedégradée de manière non négligeable. Cette dégradation peut s’expliquer, si le phénomèneobservé sur la monocouche épaisse est juste, par le fait que nous sommes, pour 8 minutes de

131

Page 144: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

traitement, dans la phase où un grand nombre de liaisons sont rompues alors que celles quisont réarrangées sont encore peu nombreuses. Cela signifierait que les propriétés mécaniquesdu film peuvent encore être améliorées tout en réduisant la dégradation de la permittivitérelative. Le traitement sur film mince met en évidence l’influence de la densité du film surla cinétique de traitement du recuit assisté par rayonnement ultraviolet.

50

55

60

65

70

Cap

acité

(pF)

Film non gravé Film gravé

Plasma H2

aux interfacesPlasma He

aux interfacesEmpilement

standard

Figure 3.40 – Variation de la capacité mesurée sur les empilements gravés et non gravés enfonction du traitement d’interface.

132

Page 145: Etude de matériaux diélectriques à très faible permittivité déposés ...

3.4. CONCLUSIONS

3.4 Conclusions

Nous venons de voir que la mesure des propriétés mécaniques par nanoindentation pourun film poreux était délicate. Dans le cas du film Orion, la structure inhomogène en pro-fondeur oblige à utiliser une mesure spécifique d’extraction des paramètres mécaniques quesont le module réduit d’élasticité et la dureté. A partir de ces premières mesures, la relationentre structure et épaisseur finale du film a induit une sous-estimation des propriétés dufilm qui empêchait sa comparaison avec les films obtenus par d’autres techniques de dépôt.Une méthode a été développée à partir de la mesure sur des multicouches pour déterminerles propriétés mécaniques du film Orion de faible épaisseur. Cette méthode requiert aussil’emploi dans certain cas d’un traitement aux interfaces pour éviter les phénomènes de dé-collement qui peuvent perturber la mesure. Cette méthode a été validée par une mesure paronde acoustique du film de faible épaisseur. Il a aussi été montré le besoin d’une affinitéaux interfaces importantes pour obtenir un empilement qui soit résistant aux sollicitationsmécaniques. Cependant, la comparaison avec d’autres films SiOC poreux a mis en évidencela faiblesse des propriétés mécaniques du film Orion en regard de ces concurrents.

C’est pourquoi une évaluation a ensuite été menée sur les traitements thermiques assis-tés par ultraviolet pour palier à cette faiblesse. Le remplacement de l’étape de recuit assistépar plasma d’hydrogène du procédé standard Orion par un recuit assisté par ultraviolet nepermet pas d’obtenir les caractéristiques de porosité requises pour obtenir un film à faiblepermittivité relative. Ce traitement a donc ensuite été testé sur le film Orion poreux aprèsl’ensemble du procédé standard. Le traitement ultraviolet permet d’améliorer de manièreimportante les propriétés mécaniques du film, environ 65% pour les conditions les plus fa-vorables. Cette amélioration est accompagnée d’une légère dégradation de la permittivitérelative. Cependant, ce travail a mis en évidence un effet néfaste du traitement. Le caractèreinhomogène du film entraîne un traitement préférentiel de la zone dense de surface du ma-tériau, la zone poreuse sous-jacente n’est que faiblement traitée. Le film devient alors plusrésistant en terme de mesure globale mais sensible aux phénomènes d’écrasement des poreslors d’une sollicitation mécanique. Le traitement renforce donc le caractère inhomogène dufilm. Pour pallier à cet effet, un traitement spécifique a été développé pour retirer cette zonedense de surface avant le traitement ultraviolet. Le film apparaît donc moins dense avec despropriétés mécaniques beaucoup plus faibles mais un comportement plus proche d’un filmhomogène. Le traitement ultraviolet a permis d’améliorer ces faiblesses en atteignant les ré-sultats obtenus avec le film standard pour des conditions de traitement identiques. L’intérêtvient alors du fait que le film gravé présente une permittivité relative inférieure pour unerésistance mécanique identique, à condition d’employer un traitement suffisamment long.Enfin, les mesures ont été réalisées sur des empilements de film mince. Cela a permis deconfirmer l’effet limitant de la zone dense de surface du film et de mettre en évidence que ladensité du film joue un rôle important sur la cinétique du traitement thermique assisté parrayonnement ultraviolet.

La mesure par nanoindentation est donc une technique de choix pour caractériser lespropriétés mécaniques des films SiOC poreux. Certaines précautions doivent cependant êtreprises pour permettre une détermination rigoureuse. De plus, la tenue mécanique d’un em-pilement à une sollicitation verticale passe aussi par une bonne adhésion entre les couchesconstituant cet empilement. Enfin, le traitement ultraviolet assisté en température apparaît

133

Page 146: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 3. PROPRIÉTÉS MÉCANIQUES DU MATÉRIAU ISOLANTPOREUX

comme une technique majeure pour améliorer les performances mécaniques des film SiOCporeux. La relation entre les conditions du procédé et la structure du film sont les paramètresclés qui jouent sur l’efficacité de ce traitement.

134

Page 147: Etude de matériaux diélectriques à très faible permittivité déposés ...

Chapitre 4

Étude des barrières diélectriques à faiblepermittivité (εr<4,5)

Sommaire4.1 Effets de l’introduction des barrières diélectriques . . . . . . . . . . . . . . 136

4.1.1 Évaluation de la modification de la permittivité effective . . . . . . . . . . . . 138

4.1.2 Paramètres clés du matériau barrière pour limiter la dégradation de la per-mittivité effective . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

4.2 Matériaux barrières à faible permittivité . . . . . . . . . . . . . . . . . . . 141

4.2.1 Étude d’une barrière monocouche . . . . . . . . . . . . . . . . . . . . . . . . . 141

Dépôt SiC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

Plasma de stabilisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

4.2.2 Étude d’une barrière bicouche . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

4.3 Détermination des performances barrières . . . . . . . . . . . . . . . . . . 148

4.3.1 Détermination des propriétés barrières à la diffusion du cuivre . . . . . . . . . 149

La technique de mesure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

Validation de la méthode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

Les paramètres critiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

Évaluation des solutions avancées . . . . . . . . . . . . . . . . . . . . . . . . . 158

4.3.2 Détermination des propriétés barrières à la diffusion d’oxygène . . . . . . . . 160

Oxydation du cuivre et mesure . . . . . . . . . . . . . . . . . . . . . . . . . . 160

Évaluation des barrières . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

4.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

135

Page 148: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

Au même titre que l’isolant interligne, étudié au chapitre 2 et 3, la permittivité relativede la barrière diélectrique doit être diminuée d’une génération à l’autre. Cette tendance a étéjusqu’à présent sans influence notable sur les propriétés de ces films, notamment l’efficacitéà bloquer la diffusion du cuivre. Avec l’introduction de matériaux poreux comme isolant in-terligne, le maintien des propriétés barrières est encore plus critique. De plus, l’architectured’intégration devient de plus en plus complexe, et il est crucial avec l’approche double da-mascène et la gravure partielle (figure 2.18, page 71) de maintenir une sélectivité de gravureimportante entre le film isolant et la barrière diélectrique.

Nous allons voir en premier dans ce chapitre quelles sont les caractéristiques des barrièresdiélectriques qui influencent les performances du circuit. Nous verrons ensuite deux approchespossibles pour former une barrière diélectrique avec une permittivité relative réduite, et leurscaractéristiques. Enfin, deux techniques de caractérisation développées spécialement pourévaluer les propriétés de barrière à la diffusion d’espèces critiques seront présentées.

4.1 Effets de l’introduction des barrières diélectriques

Une étude a été menée pour simuler les répercutions de l’introduction de ces couches surles performances du circuit dans un empilement d’interconnexion standard, en fonction desdimensions des nœuds technologiques actuels et futurs.

Cette simulation repose sur le logiciel HF2D, développé par le CEA-LETI, qui permetde simuler la propagation d’une onde électromagnétique transverse (TEM) ou quasi-TEMdans un ensemble de lignes de transmission plongées dans un domaine diélectrique et situéesau dessus d’un substrat. Cette simulation permet d’extraire les paramètres R,L,C et G dusystème pour déterminer les performances de cette structure en fonction des caractéristiquesdes matériaux simulés et des dimensions. Dans le cas des interconnexions, le fait que la dis-tance entre les lignes et la permittivité relative des films isolants soient faibles permet desupposer que la propagation dans les lignes est de type monomode et donc que l’approxima-tion du mode de propagation quasi-TEM est valable. Le logiciel permet donc le calcul desparamètres R et C d’une structure à partir de la résolution des équations de propagation deMaxwell par éléments finis. Le détail de la méthode de simulation est précisé dans [Far04].

La figure 4.1 présente la structure d’interconnexion standard qui est simulée avec le lo-giciel HF2D. Un ensemble de 3 lignes d’interconnexions parallèles, situées dans un mêmeniveau, sont testées entre deux plans de masse. La structure présente l’ensemble des maté-riaux utilisés dans le schéma d’intégration standard d’un niveau d’interconnexion, présentéau chapitre 2 section 4. Les paramètres accessibles sont les dimensions complètes de l’archi-tecture, les permittivités relatives des différentes couches diélectriques et les conductivitésdes différents matériaux métalliques. Il est aussi possible de rajouter des couches intermé-diaires pour l’isolant interligne pour tenir compte d’une couche résiduelle de masque durSiO2 ou de la structure gradée d’un film poreux comme l’Orion.

Pour évaluer l’effet de l’introduction d’une barrière diélectrique dans un niveau d’inter-connexion, nous avons comparé 3 générations technologiques différentes :

– 65 nm : c’est la dimension de référence en tant que technologie actuellement en pro-duction ;

– 45 nm : les matériaux que nous avons étudiés visent cette génération technologique ;– 32 nm : c’est la génération suivante, elle permet de préciser la tendance à suivre.

136

Page 149: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.1. EFFETS DE L’INTRODUCTION DES BARRIÈRES DIÉLECTRIQUES

Figure 4.1 – Schéma de principe de la structure d’interconnexions pour la simulation sousHF2D.

Les dimensions de la génération 65 et 45 nm sont aujourd’hui connues, nous avons suivi lesprédictions de l’ITRS (International Technology Roadmap for Semiconductors) pour celles dela génération 32 nm [ITR05]. L’ensemble des paramètres, à la fois dimensionnel et matériau,sont donnés dans le tableau 4.1. Il reste deux variables qui sont associées à la barrièrediélectrique : son épaisseur et sa permittivité relative.

Tableau 4.1 – Paramètres de la structure de simulation pour les 3 générations technologiquesconsidérées.

65 nm 45 nm 32 nm

Pas du motif (P) 200 nm 140 nm 100 nm

Largeur de ligne (W) 100 nm 70 nm 50 nm

Hauteur de ligne (H) 220 nm 140 nm 100 nm

Épaisseur de la barrière métallique (Em) 20 nm pour les trois

Épaisseur de l’isolant interligne (E) 380 nm 260 nm 180 nm

Permittivité relative de l’isolant interligne 2,7 2,5 2,2

137

Page 150: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

4.1.1 Évaluation de la modification de la permittivité effective

Pour mettre en avant l’influence de la barrière diélectrique, la permittivité effective (εeff)de l’empilement est le paramètre de choix. Cette valeur correspond à l’ensemble des contri-butions de permittivité relative des couches diélectriques dans le schéma d’intégration simuléde la figure 4.1. Cette valeur globale est liée aux différentes capacités qui influencent la pro-pagation de l’onde dans la ligne de cuivre. La permittivité effective contient la somme despermittivités relatives du film isolant interligne et de la barrière diélectrique. En fonction dela géométrie de la structure, la contribution de la barrière ne devient plus négligeable et ilfaut regarder son effet dans l’empilement.

Pour évaluer cette influence, nous avons simulé l’introduction de la barrière SiCN utiliséepour les générations 90 et 65 nm avec une épaisseur constante de 40 nm et une permitti-vité relative de 5. La figure 4.2(a) représente la variation de permittivité effective lorsquel’on ajoute cette barrière dans la structure en comparaison avec la structure sans barrièrebaignant uniquement dans l’isolant interligne. La technologie 65 nm étant notre structurede référence en terme de performance, la valeur de 10% environ obtenue sur la figure 4.2(a)pour cette génération est donc la dégradation acceptable en terme de permittivité effectivepour maintenir les performances du circuit constantes pour les générations suivantes. Lemaintien de cette barrière SiCN pour les technologies 45 et 32 nm dégrade fortement la per-mittivité effective. Cette tendance provient de deux phénomènes : le premier est la réductiondes dimensions du circuit alors que nous avons gardé une épaisseur de barrière constante, etle second provient de l’augmentation de l’écart de permittivité relative entre la barrière etl’isolant entre les lignes. La figure 4.2(b) représente la proportion en volume occupée par labarrière sur le volume total d’isolant pour notre structure en fonction du pas du motif dela structure, ainsi que la valeur de permittivité relative de l’isolant interligne. Le passage de

65 nm 45 nm 32 nm0

5

10

15

20

25

eff (%

)

(a) Variation de la permittivité effective d’un niveaud’interconnexion avec l’introduction d’une barrièrediélectrique d’épaisseur 40 nm et de permittivité re-lative égale à 5.

100 150 200 250 3005

10

15

20

25

Pas du motif de la structure (nm)

Pro

porti

on d

e ba

rriè

re (%

)

2,0

2,2

2,4

2,6

2,8

3,0

3,2

Perm

ittivité relative

(b) Volume occupé par la barrière et permittivité del’isolant interligne en fonction des dimensions de lastructure pour une barrière d’épaisseur 40 nm.

Figure 4.2 – Effets de l’introduction d’une barrière SiCN dans un niveau d’interconnexionssur les caractéristiques de l’empilement.

138

Page 151: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.1. EFFETS DE L’INTRODUCTION DES BARRIÈRES DIÉLECTRIQUES

la génération 65 nm à la génération 32 nm donne une augmentation de plus de 10% de laproportion de volume d’isolant occupé par la barrière diélectrique. Pour la permittivité del’isolant, celle-ci passe de 2,7 à 2,2 ce qui donne un écart par rapport à la barrière qui passede 2,3 à 2,9, soit une hausse de 26%.

Il est donc nécessaire d’introduire de nouvelles barrières pour les architectures 45 et 32 nmpour limiter la dégradation de permittivité effective à 10%.

4.1.2 Paramètres clés du matériau barrière pour limiter la dégra-dation de la permittivité effective

Comme il vient d’être présenté, la contribution à la permittivité effective provenant dela barrière standard de la génération 65 nm doit être réduite pour les architectures 45 et32 nm. Pour cela, il faut réduire la capacité induite par cette couche dans la structure. Selonl’équation globale 1.2 illustrée au chapitre 1 (page 7), la capacité dépend de la permittivitérelative du film considéré et de son épaisseur, dans le cas d’une surface unité. Ce sont donc lesdeux paramètres sur lesquelles il faut jouer pour maintenir une dégradation de la permittivitéeffective de la structure constante à 10%.

3,0 3,5 4,0 4,5 5,00

5

10

15

20

25

eff (%

)

Permittivité de la barrière

65 nm 45 nm 32 nm

(a) Variation de la permittivité effective de la struc-ture en fonction de la permittivité relative de la bar-rière pour une épaisseur fixée à 40 nm.

3,0 3,5 4,0 4,5 5,010

20

30

40

50

Epa

isse

ur d

e la

bar

rière

(nm

)

Permittivité relative de la barrière

65 nm 45 nm 32 nm

(b) Dépendance de la dégradation à 10% de la per-mittivité effective avec les propriétés de la barrière :épaisseur et permittivité relative.

Figure 4.3 – Influence des paramètres de la barrière diélectrique sur la dégradation de lapermittivité effective de la structure.

La figure 4.3(a) représente les résultats de la simulation, pour notre structure, de lavariation de la permittivité effective en fonction de la permittivité relative de la barrièrepour une épaisseur de barrière qui resterait constante par rapport à la génération 65 nm.La réduction de cette propriété est un levier important pour limiter la dégradation. Ceteffet est légèrement plus marqué lorsque les dimensions du circuit diminuent. En prenant lavaleur de dégradation « standard » de 10%, la figure 4.3(a) indique que la génération 45 nmnécessite l’emploi d’une barrière diélectrique avec une permittivité relative d’environ 4 pour

139

Page 152: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

une épaisseur constante de 40 nm. Cette valeur devra être réduite à 3,4 pour l’architecture32 nm.

Il est ensuite possible de jouer sur l’épaisseur de la barrière. La figure 4.3(b) représenteles iso-valeurs de la dégradation de permittivité effective égales à 10% en fonction des deuxparamètres influant de la barrière diélectrique. Ce graphique montre donc qu’il est possiblede relaxer le besoin d’une barrière à faible permittivité relative en employant une épaisseurplus fine que celle standard de 40 nm. Par exemple pour une valeur réduite à 30 nm, lagénération 45 nm ne requiert plus une permittivité relative pour la barrière de 4 mais de 4,5.Il en va de même pour la génération 32 nm qui ne nécessite plus une valeur égale à 3,4 maisseulement 3,7.

Il existe donc des solutions en terme de caractéristiques des barrières pour maintenirconstante la dégradation des performances électriques pour les générations 45 et 32 nm.Des barrières présentant les caractéristiques demandées sont aujourd’hui proposées. Il estnécessaire d’évaluer leurs performances en tant que solution pour les architectures avancées.

140

Page 153: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.2. MATÉRIAUX BARRIÈRES À FAIBLE PERMITTIVITÉ

4.2 Matériaux barrières à faible permittivité

Pour réduire la permittivité relative des barrières SiCN utilisées pour la génération 65 nm(égale à 5), deux solutions sont envisageables (figure 4.4). La première joue sur la compositionde la barrière. En effet, l’augmentation du taux de carbone au détriment de l’azote permetde réduire la proportion de liaisons Si-N présentes dans le film qui dégradent la polarisabilité.Cependant ces films, de type SiC, présentent une faible stabilité et sont sensibles à la reprised’humidité. De plus, il existe une limitation pour l’intégration car il est difficile de graver unecouche de carbure de silicium pure. C’est pourquoi il faut limiter l’introduction de carboneen jouant sur la densité de la barrière. Mais dans ce cas il est important de conserver lespropriétés de barrière à la diffusion. La deuxième approche joue plutôt sur la structure dela couche. Elle consiste à combiner une couche fine très dense avec une couche épaisse peudense. La couche dense joue le rôle de barrière à la diffusion alors que la couche peu denseet épaisse sert à la fois d’arrêt de gravure et contrebalance la permittivité relative de lacouche fine pour obtenir un matériau final avec une permittivité relative plus faible qu’unemonocouche SiCN.

Figure 4.4 – Schéma des deux solutions envisagées pour réduire la permittivité relativedu film diélectrique SiCN (la barrière métallique n’est pas représentée pour une clarté delecture).

La problématique pour ces barrières avancées apparaît donc clairement. Il est nécessairede réduire la permittivité relative mais sans dégrader les propriétés de barrière quelque soitle film employé. Nous allons voir dans cette section les caractéristiques globales de filmsobtenus par ces deux méthodes.

4.2.1 Étude d’une barrière monocouche

- Dépôt SiC -

Cette solution sera nommée SiC dans la suite du chapitre. Le film est obtenu avec l’équipe-ment Trikon dans la chambre barrière qui présente les mêmes caractéristiques que la chambrede recuit du procédé Orion (voir figure 2.3, page 42). Le tableau 4.2 présente les paramètres

141

Page 154: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

Tableau 4.2 – Paramètres du procédé de dépôt pour le film SiC d’épaisseur 40 nm.

Étape stab. Étape de dépôt

Durée (s) 10 26

Pression (mTorr) 6500 6500

Puissance RF (W) 0 720

Espace entre électrode (mm) 10 10

Débits de gaz

Helium (sccm) 1900 1900

Triméthylsilane (3MS) " 400 400

du procédé pour le dépôt de cette couche à faible permittivité relative. La première étapecorrespond à la stabilisation des gaz dans la chambre avant d’allumer le plasma. Pour obtenirle film SiC, le précurseur utilisé est le triméthylsilane mélangé avec de l’hélium comme gazporteur. L’utilisation de ce précurseur doit permettre d’incorporer des groupements méthylesdans la structure pour diminuer la densité du film et abaisser ainsi sa permittivité relative.

Une première série de caractérisation des propriétés de ce film a été réalisée. Le spectreinfrarouge est présenté sur la figure 4.5(a) avec l’indexation des différents pics à partir dutableau 2.6 (page 49) et de [Shi04, Jou04]. Le film présente majoritairement des liaisonsde type Si-C, avec une proportion non négligeable de groupements méthyles ainsi qu’unequantité d’hydrogène qui proviennent du précurseur 3MS. Cette mesure a été réalisée peu detemps après le dépôt et il apparaît sur le spectre un pic Si-OH caractéristique d’une reprised’humidité. La configuration de la structure permet d’obtenir un film de type SiC avec unindice de réfraction de 1,9, proche de celui des films de type SiCN. La permittivité relative est

1000 2000 3000 40000,00

0,01

0,02

0,03

0,04

0,05

0,06

Si-OHC-Hx

Si-H

Si-CH3

Si-CH2Abs

orba

nce

(u.a

.)

Nombre d'onde (cm-1)

Si-C

(a) Spectre infrarouge du film SiC de 150 nmd’épaisseur obtenu par mesure FTIR.

0 1 2 3 4 5146

148

150

152

154

156

Temps (jours)

Epa

isse

ur (n

m)

2,06

2,08

2,10

2,12

2,14

2,16

Indice de réfraction

(b) Épaisseur et indice de réfraction du film SiC enfonction du temps.

Figure 4.5 – Caractéristiques du film SiC obtenu avec le procédé Trikon.

142

Page 155: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.2. MATÉRIAUX BARRIÈRES À FAIBLE PERMITTIVITÉ

inférieure et égale à 4,2. Cette barrière semble donc posséder certaines propriétés intéressantesavec cependant un problème de stabilité dans le temps. La figure 4.5(b) présente l’évolutionde l’épaisseur et de l’indice de réfraction du film SiC en fonction du temps. Ces variationsproviennent de la propension des films de type SiC à capter l’humidité ce qui entraîne unemodification de leurs propriétés avec le temps [Jou04]. Un traitement complémentaire estdonc nécessaire pour stabiliser le film ainsi déposé.

- Plasma de stabilisation -

La solution qui a été proposée par Trikon pour stabiliser le film SiC est basée sur l’emploid’un traitement plasma. L’efficacité de trois chimies différentes a été testée sur le film SiC :hydrogène, hélium et oxyde d’azote. Les conditions de procédé pour chacun des traitementssont données dans le tableau 4.3. Ces trois plasmas ne différent que sur le temps de traite-ment. L’objectif de ces traitements est de stabiliser la structure du film SiC pour éviter ladégradation de ses propriétés dans le temps tout en limitant la dégradation de la permittivitérelative du film avant ce plasma.

Tableau 4.3 – Paramètres des trois types de plasma de stabilisation pour le film SiC.

H2 He N2O

Durée (s) 20 40 20

Pression (mTorr) ← 4000 →

Puissance RF (W) ← 1500 →

Débit du gaz (sccm) ← 1600 →

Espace entre électrode (mm) ← 25 →

Les trois traitements permettent de stabiliser le film SiC, les variations observées surla figure 4.5(b) disparaissent quelque soit le traitement employé. Ces traitements sont doncefficaces en ce qui concerne la stabilisation du film SiC. Il faut maintenant regarder leur effetsur les autres propriétés du film. La permittivité relative, mesurée par goutte de mercuresur un film d’épaisseur 150 nm, est présentée sur la figure 4.6(a). Ces plasmas, en stabilisantle film, provoquent une augmentation de la permittivité relative. L’effet est faible pour lesplasmas hydrogène et hélium, avec une augmentation de 0,2 environ. Cette augmentationcorrespond à un effet de densification que provoque indubitablement le traitement plasma.En revanche, la chimie N2O dégrade fortement cette propriété avec une valeur finale prochede 4,8. Dans ce cas l’effet de densification est complété par l’introduction de liaisons de typeSi-N. Elles présentent une polarisabilité plus grande que les liaisons Si-C ce qui entraînecette hausse importante [Mat05b]. À partir de ce premier résultat, si les trois traitementspermettent une stabilisation, ceux basés sur la chimie hydrogène ou hélium offrent unemeilleur permittivité relative finale. En prenant les mesures d’indice de réfraction du film(courbe noire sur la figure 4.6(b)), les traitements hélium et hydrogène provoquent une aug-mentation de cette valeur qui se retrouvent avec l’augmentation de permittivité relative etqui est due à la densification de la barrière. En revanche, pour le traitement N2O, la valeur

143

Page 156: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

reste constante par rapport au film non stabilisé. Cet écart par rapport à la variation de lapermittivité relative ne s’explique pas en l’état. Il serait nécessaire d’étudier plus finement laréponse de l’indice de réfraction en fonction de la longueur d’onde. En traçant sur la mêmefigure l’évolution de la contrainte résiduelle (courbe bleue), il apparaît des tendances iden-tiques à celles de l’indice de réfraction. Le film SiC sans traitement est fortement compressif(-200 MPa environ), de même qu’après le traitement N2O. Par contre, les traitements H2 etHe rendent le film SiC faiblement tensile (<50 MPa). Ces traitements permettent un relâ-chement des contraintes résiduelles du film après dépôt ce qui permet d’obtenir un film plusstable. De plus, une couche faiblement contrainte est intéressante du point de vue de l’inté-gration dans un niveau d’interconnexion puisqu’elle devient moins sensible au décollementavec les autres couches, particulièrement les lignes de cuivre.

3,5

4,0

4,5

5,0Film SiCN référence (65 nm)

Film SiCtraité N2O

Film SiCtraité He

Film SiCtraité H2

Per

mitt

ivité

rela

tive

Film SiCstandard

(a) Permittivité relative mesurée par goutte de mer-cure pour le film SiC avec ou sans traitement destabilisation.

1,75

1,80

1,85

1,90

1,95

2,00

2,05

2,10

2,15

Film SiCstandard

Indi

ce d

e ré

fract

ion

Film SiCtraité N2O

Film SiCtraité He

Film SiCtraité H2

-300

-250

-200

-150

-100

-50

0

50

100

Contrainte interne (M

Pa)

(b) Indice de réfraction et contrainte résiduelle me-surés sur le film SiC avec ou sans traitement de sta-bilisation.

Figure 4.6 – Caractéristiques du film SiC après le traitement de stabilisation.

Enfin, la signature infrarouge avant et après traitement de stabilisation a été mesurée(figure 4.7). Il n’apparaît pas de différence majeure avec l’utilisation des traitements. Le picSi-C augmente légèrement indiquant que la stabilisation, qui passe par une densification,permet certainement de réticuler les liaisons les moins stables sous forme Si-C.

Pour conclure, l’approche de la barrière monocouche avec une composition de type SiCplutôt que SiCN permet d’atteindre une permittivité relative inférieure à 5. Il est nécessaired’employer un plasma après le dépôt pour stabiliser les propriétés de la barrière ce quientraîne une légère dégradation de la permittivité relative. Parmi ces traitements, les plusintéressants sont basés sur une chimie hydrogène ou hélium.

144

Page 157: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.2. MATÉRIAUX BARRIÈRES À FAIBLE PERMITTIVITÉ

1000 2000 3000 40000,0000

0,0001

0,0002

0,0003

0,0004A

bsor

banc

e (u

.a.)

Nombre d'onde (cm-1)

Film SiC standard Film SiC traité H2

Film SiC traité He

Figure 4.7 – Spectres infrarouges mesurés par FTIR sur le film SiC d’épaisseur 150 nmavec ou sans traitement de stabilisation.

4.2.2 Étude d’une barrière bicouche

L’approche envisagée ici a été proposée par la société Applied Materials. La méthode dedépôt est de type PECVD en deux étapes pour obtenir la bicouche.

Le tableau 4.4 présente les caractéristiques des deux couches qui composent le film étudié.L’épaisseur et l’indice de réfraction ont été mesurés par ellipsométrie à 633 nm avec unmodèle bicouche. La densité est obtenue par mesure de réflectivité de rayons X. Un indice deréfraction moyen et une densité globale pour le film ont été calculés sur la base d’une loi demélange des caractéristiques des deux couches. Les deux couches présentent des différences

Tableau 4.4 – Caractéristiques du film bicouche (valeur moyenne sur 6 plaques).

Mesure ellipsométrique Mesure réfléctivité⋆

Épaisseur (nm) Indice de réfraction Densité

Couche d’initiation 20,3 2,021 1,94

Couche supérieure 148,3 1,753 1,45

Film global / 1,78 1,55

⋆ Mesure réalisée sur un film de 40 nm d’épaisseur respectant les mêmes proportionspour les deux couches que pour le film mesuré par ellipsométrie.

145

Page 158: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

très nettes d’indice de réfraction et de densité. La couche d’initiation a un indice de réfractionproche de celui d’un film type SiN mais avec une densité équivalente à un film SiCN (voirle tableau 1.7, page 32). Pour la couche supérieure, ces deux paramètres sont inférieurs àceux classiquement trouvés pour les films SiCN. L’intérêt de cette approche apparaît avecles valeurs moyennes : la couche d’initiation a des caractéristiques proches des barrièresstandard mais la bicouche offre des caractéristiques inférieures à celles de la barrière SiCN.La mesure de permittivité relative par goutte de mercure confirme cette tendance avec unevaleur globale égale à 4,1.

Une coupe MET de la structure (figure 4.8(a)) permet d’identifier facilement les deuxcouches par contraste de densité. En regardant la cartographie chimique obtenue par EFTEM(figure 4.8(b)), la couche d’initiation est riche en azote alors que la couche supérieure estriche en carbone. Cela confirme les contrastes de caractéristique mesurés par ellipsométrie etréflectivité de rayons X (tableau 4.4). En effet, l’ajout d’azote permet d’obtenir un film plusdense avec une stœchiométrie qui se rapproche d’un film SiN. Pour la couche supérieure, lephénomène inverse se produit. Le remplacement de l’azote par du carbone conduit à un filmavec une polarisabilité réduite du fait de la stœchiométrie qui se rapproche d’un film SiC.Il apparaît aussi sur cette image en contraste chimique une couche riche en oxygène sur ledessus du film avant l’encapsulation. Cela correspond certainement à une oxydation de lazone superficielle.

(a) Coupe MET de la bicouche SiCN pour une épais-seur totale de 40 nm.

(b) Cartographie chimique par EFTEM de la bi-couche SiCN.

Figure 4.8 – Coupe MET de la bicouche SiCN avec analyse de la composition.

Le spectre infrarouge du film a été mesuré par FTIR pour identifier les liaisons présentesdans ces couches (figure 4.9). L’indexation des pics de ce spectre est basée sur les mêmesréférences que celles pour le film SiC (tableau 2.6 page 49 et [Shi04, Jou04]). La mesure étantfaite en transmission, le spectre est une vue globale et moyennée du film. Cela signifie queles liaisons appartenant à chacune des couches sont confondues. A l’aide des indications dela cartographie chimique de la figure 4.8(b), il est cependant possible d’observer certainestendances. La plupart des pics sont communs avec le film SiC : Si-H, Si-CH3, Si-C et C-Hx.La différence apparaît nettement pour le pic Si-C qui se trouve convolué avec le pic Si-N. Lesnombres d’onde de ces deux pics sont très proches. Un pic caractéristique de la liaison N-Hest aussi présent vers les nombres d’onde élevés. Du fait de la faible épaisseur de la couched’initiation, l’intensité du pic Si-N est suffisamment grande pour provenir des deux couches

146

Page 159: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.2. MATÉRIAUX BARRIÈRES À FAIBLE PERMITTIVITÉ

1000 2000 3000 40000,00

0,01

0,02

0,03

0,04

0,05

600 800 1000 1200

0

0,01

0,02

0,03

0,04

0,05

Si-CH2

Si-N

Si-C

Si-CH3

N-HC-Hx

Abs

orba

nce

(u.a

.)

Nombre d'onde (cm-1)

Si-H

Figure 4.9 – Spectre infrarouge du film SiCN bicouche mesuré par FTIR.

du film. Cela signifie que la couche supérieure présente une composition proche d’un filmSiCN alors que la cartographie EFTEM indiquait une forte proportion en carbone mais peud’azote. La faible permittivité relative provient bien d’une couche de densité faible mais avecune stœchiométrie type SiCN.

La stabilité du film a été évaluée selon deux méthodes. Le film a d’abord subi un recuità 400C pendant 3 heures. La contrainte interne du film varie de -163 MPa avant le recuit à-67 MPa après ce traitement. Le recuit permet donc une certaine relaxation des contraintesrésiduelles bien que le film reste en compression sur le substrat de silicium. La signature FTIRa été suivie durant ce test et ne présente pas de variation après le recuit. Le film présente doncune bonne stabilité en température du point de vue de la structure. La deuxième évaluationconsistait à laisser le film vieillir en salle blanche durant deux mois. Malgré l’absence detrace de reprise d’humidité sur le spectre FTIR, la permittivité relative du film a augmentéede 4,1 à 4,4. Ce phénomène corrèle la mesure par cartographie chimique qui montrait uneoxydation de surface du film. Le film semble donc sensible à l’oxydation, certainement àcause de la couche supérieure de faible densité qui est riche en carbone et donc moins stablecomme nous l’avons vu pour le film monocouche SiC.

Ce film, tout comme l’approche SiC stabilisée, présente des propriétés intéressantes enterme de permittivité relative pour la technologie 45 nm. Il reste cependant un point crucialà vérifier qui est le maintien des performances en tant que barrière à la diffusion des espècesdangereuses pour les lignes de cuivre par rapport à la barrière employée pour l’architecture65 nm.

147

Page 160: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

4.3 Détermination des performances barrières

Comme l’indique le nom porté par ces films, l’objectif premier des couches diélectriques detype SiCN ou SiC est de bloquer la diffusion des espèces qui peuvent altérer le fonctionnementdu circuit (figure 4.10).

Parmi ces contaminants, le premier qui vient immédiatement à l’esprit est le cuivre. Eneffet, son coefficient de diffusion dans le silicium est très élevé et il peut donc se déplacer par-tout dans le circuit si il n’est pas contenu. La diffusion des atomes de cuivre, présents dansles nombreux vias et lignes d’interconnexion, peut provoquer un court-circuit en pontantélectriquement plusieurs lignes d’un même niveau entre elles voire entre plusieurs niveaux.Si une grande quantité de cuivre diffuse à partir d’un point faible de la barrière, cela pro-voque dans cette zone un vide (figure 4.10), et augmente localement la résistance. Cela peutconduire à la rupture de la ligne selon des phénomènes identiques à l’électromigration. Deplus, les atomes de cuivre qui atteignent le substrat de silicium, où se trouvent les transis-tors, forment des pièges profonds pour les charges et détériorent ainsi le fonctionnement ducircuit. Le cuivre est un passage nécessaire pour les interconnexions, comme il a été présentéau chapitre 1, mais il est obligatoire que la diffusion de ces atomes soit bloquée durant toutle cycle de vie du circuit.

Figure 4.10 – Schéma de principe d’une ligne de cuivre illustrant les diffusions possiblesd’espèces conduisant à l’altération des performances des interconnexions.

L’introduction des film diélectriques poreux, comme isolant interligne, provoque l’appari-tion d’un deuxième type de contaminant qu’il faut maîtriser. Le principe même de la porositéest d’offrir un volume de stockage, assez conséquent, d’espèces susceptibles de diffuser versles zones sensibles du circuit que sont les lignes et vias en cuivre et de détériorer leurs pro-priétés. Dans le cas du cuivre, tout comme pour le coefficient de diffusion, l’oxydation estun phénomène très rapide qui va détériorer fortement sa résistivité. De plus, cette oxyda-tion s’accompagne d’une augmentation de volume qui peut déformer la barrière et fragilisercette zone de l’empilement (figure 4.10). Une espèce oxydante, piégée dans les pores du film

148

Page 161: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

poreux, est donc potentiellement une source de dégradation si elle peut atteindre une zonede cuivre. La présence d’une barrière métallique permet d’éviter ce problème sur les flancset le fond des lignes. En revanche, seule la barrière diélectrique protège le dessus des lignescontre ces espèces.

Les barrières diélectriques sont donc essentielles pour bloquer la diffusion vers l’extérieurdes atomes de cuivre présents dans les lignes et vias mais aussi la diffusion dans le sensinverse des espèces oxydantes piégées dans les pores de l’isolant.

4.3.1 Détermination des propriétés barrières à la diffusion du cuivre

L’objectif est de déterminer aussi précisément que possible et dans les conditions lesplus proches de l’intégration l’aptitude d’une couche mince à bloquer la diffusion du cuivre.La méthode mise en place doit aussi permettre d’évaluer n’importe quel type de barrièrediélectrique mais aussi les barrières auto-positionnées, voire les barrières métalliques.

- La technique de mesure -

Comme cela vient d’être abordé, l’un des objectifs est d’évaluer le film dans les conditionsles plus proches de l’intégration. Cela signifie qu’il faut tenir compte de l’état de surface ducuivre sur lequel est déposé le film mais aussi des éventuelles intéractions entre le procédéde dépôt de ce film et la couche de cuivre sous-jacente, notamment l’aspect traitements desurface par plasma qui sont mis en place avant le dépôt de la barrière pour désoxyder lecuivre. Pour cela, chaque échantillon correspond à une plaque de silicium sur laquelle leprocédé standard de dépôt du cuivre est réalisé comme suit (figure 4.11) :

1. dépôt d’un film d’oxyde de 100 à 300 nm d’épaisseur qui sert de promoteur d’adhésionà l’empilement métallique ;

2. dépôt de la barrière métallique standard TaN/Ta de 25 nm d’épaisseur environ parvoie physique en phase vapeur pour bloquer la diffusion du cuivre vers le substrat ;

3. dépôt d’une couche de cuivre par voie physique en phase vapeur de 60 nm environ pourinitier la phase de dépôt électrochimique ;

4. dépôt épais de cuivre (proche de 700 nm) par électrochimie ;

5. enfin, polissage mécano-chimique de la surface du cuivre pour obtenir un état de surfacedu cuivre standard. Cette dernière étape nécessite de retirer environ 200 nm de cuivre.

À partir de cet empilement, le dépôt de la barrière à évaluer est réalisé. Ce film est ensuiteencapsulé par 100 nm d’oxyde de silicium. Cette couche tampon a pour but de piéger lesatomes de cuivre qui auraient diffusés au travers de la barrière. Une étape de recuit est ensuitepratiquée pour activer la diffusion du cuivre et simuler l’intégration d’un niveau. Ce recuitest obtenu dans un four à 400C sous atmosphère neutre pendant 14 minutes (l’ensembledu cycle avec la montée en température et le refroidissement dure environ 2 heures). Cettestructure présente l’avantage de respecter l’enchaînement des étapes d’intégration et permetd’évaluer n’importe quel type de barrière.

Pour détecter la quantité de cuivre qui a diffusé dans l’oxyde, la première étape consiste àdissoudre la couche d’oxyde (Liquid Phase Decomposition, LPD) par une solution d’environ30 mL contenant de l’acide fluorhydrique en faible concentration (entre 1 et 5%) (figure 4.11).

149

Page 162: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

Figure 4.11 – Schéma de la méthode de détermination par dissolution de l’efficacité en tantque barrière à la diffusion du cuivre.

La différence de vitesse de gravure entre l’oxyde de silicium et la barrière diélectrique, quiest dans ce cas de type SiCN ou SiC, est suffisamment importante pour permettre unedissolution précise de la couche tampon sans risque de percer la barrière et de fausser lesmesures. Un prélèvement de 10 mL de la solution est réalisé pour mener les analyses. Unequantité équivalente à 1 mL est alors analysée avec un spectromètre d’absorption atomique(Atomic Absorption Spectrometry, AAS) pour déterminer la quantité d’atomes de cuivreprésents dans la solution. La sensibilité intrinsèque de cet équipement est de 200 ppt. Dansle cas d’une concentration plus faible, la spectrométrie d’absorption atomique est remplacéepar la spectrométrie de masse assistée par plasma inductif (Inductively Coupled Plasma MassSpectrometry, ICPMS). Dans cette deuxième approche, la solution est d’abord évaporée pourobtenir un résidu fortement concentré. Ce résidu sec est ensuite dilué dans 1 mL d’acidenitrique à 1% pour permettre l’analyse par ICPMS. L’ensemble du protocole a été misau point en collaboration avec l’équipe de caractérisation des contaminants métalliques duCEA-LETI.

Cette mesure permet de déterminer une quantité de cuivre globale qui a diffusé sur toutela surface de la plaque. Cela signifie que l’évaluation de l’efficacité en tant que barrière à ladiffusion du cuivre est couplée avec cette méthode à la maturité du procédé de dépôt de labarrière. L’inconvénient dans ce cas est de perdre la localisation des zones de diffusion et deleur taille par une mesure globale.

- Validation de la méthode -

Pour valider cette méthode, les résultats sur des échantillons références ont été comparésà des mesures de profil de concentration du cuivre dans l’oxyde obtenus par SIMS. Deuxéchantillons servent de référence : un empilement sans aucune barrière qui doit permettred’observer la diffusion du cuivre dans la couche d’oxyde tampon et un autre empilement avec

150

Page 163: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

la barrière SiCN utilisée pour la génération 65 nm qui présente une permittivité relative égaleà 5 et une épaisseur de 40 nm.

La figure 4.12 présente les profils de concentration en cuivre mesurés par SIMS pourles deux échantillons références, exprimés en nombre d’atomes par unité de volume. Cettemesure est obtenue en comparant le signal brut (en coups par seconde sur le spéctromètre demasse) à celui d’un échantillon de référence de type oxyde de silicium implanté avec une doseconnue de cuivre. La profondeur de gravure est déterminée après abrasion par mesure de laprofondeur du cratère, ce qui induit une sous-estimation de la profondeur de gravure parrapport aux épaisseurs réelles des couches. Le cuivre, même pour l’échantillon sans barrière,se trouve préférentiellement à l’interface inférieure de l’oxyde plutôt qu’en surface de celui-ci(figure 4.12).

0 250 500 750 1000 12501E14

1E15

1E16

1E17

1E18

1E19

1E20

1E21

1E22

SiO2SiO2

Con

cent

ratio

n (a

t.Cu/

cm3 )

Profondeur de gravure ( )

Sans barrière Barrière SiCN

SiO2

CuivreSiCN Cuivre

Figure 4.12 – Profil du cuivre dans l’oxyde mesuré par SIMS pour les deux échantillons deréférence.

La mesure faite par la sonde SIMS couvre une surface de quelques µm2, on peut doncconsidérer le profil de diffusion comme unidirectionnel selon la normale à la surface del’échantillon. Ce profil peut être relié à un cas simple de diffusion à une dimension dans unsolide semi-infini (ici l’oxyde) à partir d’une concentration superficielle considérée commeconstante au vu de la taille du réservoir de cuivre. Il est donc possible de résoudre l’équationde Fick selon les conditions aux limites fixées par ce modèle. À l’origine de la diffusion, legradient de concentration en cuivre entre les deux couches provoque un flux d’atomes dansle sens descendant du gradient et ce flux est proportionnel au gradient correspondant :

J = −D∂c

∂x(4.1)

151

Page 164: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

avec J le flux d’atomes traversant l’unité d’aire par seconde, et D le coefficient de diffusion,exprimé généralement en cm2.s−1. Dans le cas simple présenté au-dessus, les conditions sui-vantes apparaissent :

– Conditions initiales : t = 0, x > 0 et c(x, 0) = c0.– Conditions aux limites : ∀t > 0, pour x = 0 c(0, t) = cs.

La solution s’écrit alors :c− cs

c0 − cs

= erf

(

x

2√

Dt

)

(4.2)

Dans notre cas avec une concentration superficielle constante, on peut déterminer la quantitéde matière qui a pénétré par unité d’aire avec :

M(t) = 2cs

Dt

π(4.3)

En prenant comme temps de diffusion le plateau de recuit de 14 minutes, et en intégrantl’ensemble de la quantité de cuivre qui a diffusé dans l’oxyde, il est possible de déterminer lecoefficient de diffusion du cuivre dans la couche tampon. Cette valeur est égale à 2,4.10−14

cm2.s−1, ce qui est comparable à des mesures réalisées dans un oxyde thermique à 700Kavec une énergie d’activation de 0,85 eV, qui correspond à celle d’atomes de cuivre dans lamasse [Coe93]. Cette courbe représente donc le profil référence pour une diffusion maximaledu cuivre dans la couche tampon avec un recuit d’activation de 14 minutes à 400C.

Pour le cas de la barrière standard (figure 4.12), la quantité de cuivre est beaucoupplus faible et la courbe croit en allant vers la surface, inversement à l’observation pourl’échantillon sans barrière. Un tel profil provient du fait que les valeurs sont proches de lalimite de détection de l’équipement de mesure. Ce profil peut être considéré comme plat. Labarrière est efficace pour bloquer la diffusion du cuivre.

Pour comparer ces mesures par SIMS avec les mesures faites par LPD, la concentrationvolumique du cuivre est intégrée sur l’épaisseur d’oxyde. Pour valider la méthode par dissolu-tion de la couche tampon, deux attaques successives ont été réalisées pour dissoudre d’abord80 nm et faire l’analyse de concentration puis la dissolution et l’analyse des 20 nm restant.Cela permet d’avoir confirmation de la sensibilité sur la profondeur de mesure. La mesure parSIMS est donc intégrées sur 80% de la profondeur de gravure de l’oxyde puis sur l’ensemblede cette profondeur. Les résultats des deux méthodes sont présentés dans le tableau 4.5. Lesquantités mesurées sont suffisamment importantes pour n’avoir à utiliser avec la techniquede dissolution que l’analyse par AAS. Les mesures par dissolution corroborent les mesuresobtenues par SIMS, ce qui valide notre méthode. Dans le cas de l’échantillon sans barrière,la dissolution complète de l’oxyde est impossible sans atteindre le réservoir de cuivre, c’estpourquoi le prélèvement de la solution a été réalisé juste avant l’apparition du cuivre doncavec quelques nanomètres d’oxyde non dissouts ce qui explique l’écart de mesure entre lesdeux techniques. Enfin, la méthode par dissolution sur toute la surface de la plaque confirmela maturité du procédé de référence de dépôt SiCN puisque l’écart avec la mesure au centrede la plaque par SIMS est faible.

À partir de la validation de la méthode par dissolution, il faut maintenant regarder quelssont les paramètres critiques qui influent sur l’efficacité à bloquer la diffusion du cuivre.

152

Page 165: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

Tableau 4.5 – Mesures de la quantité de cuivre qui a diffusé dans la couche tampon parSIMS ou par dissolution.

Épaisseur Mesure SIMS Mesure par LPD

Échantillon analysée (nm) (at.cm−2) (at.cm−2)

Sans barrière 80 7,3.1012 2,8.1012

Sans barrière 100 1,6.1015 8,1.1014

Avec SiCN 80 4,2.1011 3,1.1011

Avec SiCN 100 4,5.1011 3,3.1011

- Les paramètres critiques -

Parmi les paramètres critiques, il est possible de distinguer ceux liés au procédé d’inté-gration et ceux liés à la barrière.

Pour la partie barrière, les paramètres importants qui peuvent jouer sur les phénomènes dediffusion sont sa densité et son épaisseur. Pour évaluer correctement l’influence de l’épaisseurde la barrière sur l’efficacité à bloquer la diffusion du cuivre, il est nécessaire de travailler avecdes procédés de dépôt matures pour être sûr que le film soit homogène sur l’ensemble de lasurface de la plaque lors de la dissolution. En tenant compte de cet aspect, et pour observeraussi l’effet d’une variation de densité, le film SiCN a été comparé au film SiN employépour la génération 120 nm. Ce dernier présente une permittivité relative et une densitéplus élevées que le film SiCN (voir le tableau 1.7, page 32). Le protocole de réalisation deséchantillons et d’analyse est identique à celui présenté précédemment. L’épaisseur du film,dans les deux cas, varie entre 40 et 10 nm. Il est à noter que dans le cas de la barrière SiN,les faibles concentrations en cuivre ont été déterminées en utilisant le protocole de mesurepar évaporation et analyse ICPMS.

La figure 4.13 présente les mesures obtenues avec les deux barrières en fonction de leurépaisseur. La réduction de densité pour permettre de réduire la permittivité relative descouches barrières dégrade fortement l’efficacité en tant que barrière. En effet, pour uneépaisseur fixe de 40 nm, le passage d’une barrière SiN à une barrière SiCN a permis deréduire la permittivité relative de 7 à 5, mais aussi la densité de 2 à 1,8 g.cm−3 et de cefait d’augmenter la quantité de cuivre qui peut diffuser de 2,6.109 à 3,3.1011 at.cm−2, soitdeux décades de plus. Cela illustre le lien étroit entre la densité d’une couche et son aptitudeà bloquer la diffusion du cuivre. La figure 4.13 montre aussi que la réduction d’épaisseurjoue un rôle majeur sur la diffusion du cuivre. L’évolution linéaire indique une dépendanceexponentielle entre l’épaisseur de la barrière et la quantité de cuivre qui diffuse à traverselle. Dans le cas d’une barrière dense, telle que le SiN, cet effet est contrôlé et ne dépasse pas1.1011 at.cm−2 pour l’épaisseur la plus fine. Pour la barrière SiCN, la réduction de densitéet d’épaisseur dégradent fortement sa propriété de blocage de la diffusion du cuivre. Pourles faibles épaisseurs, la quantité de cuivre qui diffuse a augmenté de plus d’une décade. Lesvaleurs atteintes deviennent critiques compte tenu du fait que ce test ne simule qu’un seulrecuit.

153

Page 166: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

0 10 20 30 401E9

1E10

1E11

1E12

1E13

1E14

1E15

Con

cent

ratio

n (a

t.Cu/

cm2 )

Epaisseur de la barrière (nm)

Barrière SiN Barrière SiCN

Valeur sans barrière

Figure 4.13 – Influence de la densité et de l’épaisseur de la barrière diélectrique sur sacapacité à bloquer la diffusion du cuivre.

À partir de ces mesures sur des barrières références, nous avons regardé l’effet des para-mètres du procédé d’intégration sur la diffusion du cuivre : le temps du recuit d’activationà 400C et l’état de surface du cuivre avant dépôt de la barrière.

Pour vérifier l’influence du temps de recuit, les deux barrières références ont été soumisesà plusieurs cycles de recuit de 14 minutes de palier pour deux épaisseurs différentes : 40 et20 nm. Le protocole de mesure reste le même et l’utilisation de l’analyse par ICPMS pour lefilm SiN est toujours requise. La figure 4.14 donne les résultats pour la barrière SiCN de 40et 20 nm d’épaisseur et pour la barrière SiN de 40 nm d’épaisseur. Dans le cas de l’épaisseurstandard, les deux barrières présentent le même comportement, à savoir une diminution dela quantité de cuivre détecté dans l’oxyde avec le nombre de recuit. Cette évolution, inverséepar rapport à ce qui était attendu, provient du fait que le temps de dissolution a été maintenuconstant pour tous les échantillons, dans ce cas 6,5 minutes. Comme le recuit joue un rôle surle film d’oxyde tampon en améliorant sa stabilité, cela signifie que plus le temps du recuit estgrand, et plus le temps de dissolution nécessaire pour graver la couche doit être important.Ces variations de vitesse doivent cependant être faibles et donc l’écart d’épaisseur dissouteaussi. Cela signifie que dans les deux cas la quantité de cuivre qui diffuse n’a pas fortementaugmenté. Il est aussi possible que le temps de recuit ait un effet bénéfique sur la barrière.Pour le film SiCN avec une épaisseur plus faible (20 nm), deux recuits conduisent à unediminution de la quantité de cuivre qui diffuse par rapport à un seul recuit, ce qui tend àprouver un comportement similaire à l’épaisseur standard. En revanche, un troisième recuitdonne une valeur proche de celle d’un seul recuit. Ce comportement différent semble indiquerque la diffusion dans ce cas est augmentée avec le temps de recuit. Elle est contrebalancée

154

Page 167: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

1 2 3 41E9

1E10

1E11

1E12C

once

ntra

tion

(at.C

u/cm

2 )

Nombre de recuit

Barrière SiCN 40 nm Barrière SiN 40 nm Barrière SiCN 20 nm

Figure 4.14 – Influence du nombre de recuits (14 minutes de palier à 400C) sur la diffusiondu cuivre au travers des barrières diélectriques.

par la profondeur de gravure réduite. Cela confirme les résultats sur l’influence de l’épaisseurqui indiquent que réduire ce paramètre est critique pour maintenir de bonnes performancesde blocage de la diffusion du cuivre.

Enfin, l’influence de l’état de surface du cuivre a été évaluée. Avec le procédé standardd’intégration, l’étape de polissage mécano-chimique est réalisée avec un agent passivant quipermet de prévenir l’oxydation du cuivre avant le dépôt de la barrière diélectrique. De plus,ce dépôt est précédé dans la chambre par un plasma qui sert à éliminer une éventuelleoxydation de la surface du cuivre. Ainsi, l’oxydation ne peut être un paramètre influantsur l’état de surface du cuivre car elle est bien contrôlée. Une modification importante del’état de surface du cuivre ne provient donc pas de l’enchaînement entre l’étape de polissageet celle de dépôt de la barrière. En revanche, entre le dépôt électrolytique et le polissage,un temps d’attente trop important peut conduire à la formation après polissage de cavitésà la surface au niveau des joints de grain de cuivre. Ce phénomène perturbe fortement lasurface en créant localement une déplétion en cuivre (figure 4.15). L’objectif ici est de voirsi la mesure par dissolution est sensible à ce problème et permet sa détection. En effet, lamodification locale du contact entre la barrière et la surface métallique devrait détériorerson efficacité à bloquer la diffusion du cuivre. Pour obtenir cet état de surface, nous avonsréalisé des échantillons avec un temps d’attente entre le dépôt électrolytique et le polissagede plusieurs mois. Cela permet d’obtenir une surface couverte de manière aléatoire de cavitésau niveau des joints de grain d’une taille moyenne d’environ 100 nm (figure 4.15).

Ces plaques ont été encapsulées avec différentes barrières puis analysées par SIMS etpar le protocole de dissolution. La figure 4.16 représente les résultats obtenus pour ceséchantillons en comparaison avec des empilements identiques mais une surface de cuivresans modification. Cette évaluation a été menée sur la barrière SiCN standard mais aussi

155

Page 168: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

Figure 4.15 – Vue de dessus par MEB de la surface de cuivre présentant des cavités aujoints de grain et vue en coupe avec l’empilement.

sur deux barrières avancées du procédé Trikon : le film stabilisé par le plasma d’hydrogèneet celui stabilisé par le plasma d’oxyde d’azote, ils sont nommés respectivement sur la figureSiC:H et SiC:N. Les résultats montrent que la technique SIMS permet de distinguer les deuxétats de surface du cuivre pour deux barrières. Dans le cas de la méthode par dissolution,les trois barrières donnent un signal bien supérieur dans le cas d’une surface modifiée. Cetteapproche est donc très sensible à l’état de surface du cuivre par rapport au SIMS. En effet,la sonde ionique couvre une petite surface ce qui implique, du fait d’une répartition aléatoiredes défauts, une probabilité importante d’analyser une zone qui ne possède pas de défaut. Acontrario, la dissolution de la couche tampon est réalisée sur toute la surface de la plaque.L’information est donc moyennée sur un grand nombre de cavités, ce qui permet d’augmenterfortement la sensibilité de cette technique à ce genre de défaut.

À partir de ces mesures, nous avons mis en évidence un deuxième phénomène lié à labarrière. Dans le cas du film SiC:N, les propriétés de barrière sont mauvaises par rapportau film SiCN quelque soit l’état de surface du cuivre. La mesure SIMS détecte une légèredifférence lorsque la surface de la couche de cuivre est modifiée mais pour la mesure pardissolution, la valeur obtenue est comparable à celle de l’oxyde déposé sans barrière. Cettegrande quantité de cuivre indique que la barrière n’est pas étanche à cause des défauts desurface. La surface de la plaque a été observée après la dissolution par microscopie optique(figure 4.17). Le film barrière s’est décollé durant l’analyse et des zones de cuivre apparaissentsur la plaque. Ce décollement, qui n’apparaît pas pour l’échantillon avec une surface de cuivresans défaut, indique que les cavités de surface ont fragilisé la barrière localement ce qui apermis à la solution de gravure de diffuser jusqu’au cuivre. Ainsi, la méthode par dissolutionpermet de détecter les défauts de continuité de la barrière sur toute la plaque.

Nous venons de voir que les barrières, actuellement utilisées pour les générations 120, 90et 65 nm, sont efficaces pour bloquer la diffusion du cuivre mais que cette efficacité variaitfortement en fonction de leur épaisseur. Le temps de recuit ne semble par être un paramètreprédominant sur la quantité de cuivre diffusant au travers de la barrière. Nous avons aussimontré que la méthode par dissolution permettait de détecter l’influence du procédé d’inté-

156

Page 169: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

gration sur l’efficacité barrière, que ce soit l’état de surface du cuivre ou la barrière elle-même.Enfin, la réduction de densité de la barrière joue un rôle majeur en diminuant l’efficacitéà bloquer la diffusion du cuivre. Il faut maintenant évaluer les performances des barrièresavancées, qui sont encore moins denses, présentées comme solution pour l’architecture 45 nm.

SiCN SiC:H SiC:N1E11

1E12

1E13

1E14

1E15 Cu standard, mesure LPD Cu modifié, mesure SIMS Cu modifié, mesure LPD

Con

cent

ratio

n (a

t.Cu/

cm2 )

Figure 4.16 – Influence de l’état de surface du cuivre sur la mesure de l’efficacité de barrière.

Figure 4.17 – Observation au microscope optique du film SiC:N après dissolution (Gran-dissement par 5).

157

Page 170: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

- Évaluation des solutions avancées -

Les solutions avancées testées sont celles présentées dans la section précédente : le filmSiC stabilisé par un plasma d’hydrogène (nommé SiC:H), le film SiC stabilisé par un plasmad’oxyde d’azote (nommé SiC:N) et le film bicouche SiCN. Le film SiC stabilisé avec un plasmad’hélium n’est pas présenté ici mais il offre les mêmes performances que le film stabilisé avecun plasma hydrogène. Le profil SIMS et l’analyse par dissolution ont été conduit sur les troisbarrières.

Le tableau 4.6 présente les mesures obtenues avec les deux techniques. Pour comparaison,les mesures réalisées sur la barrière standard SiCN sont présentées. Le film SiC:N qui possèdeune permittivité relative peu différente de celle du film référence présente une efficacité entant que barrière à la diffusion du cuivre médiocre. La quantité piégée dans l’oxyde tamponest plus importante de deux décades par rapport à la référence SiCN. Cette barrière n’estdonc pas efficace pour bloquer la diffusion du cuivre. En revanche, le film SiC:H et la bicoucheSiCN présentent des caractéristiques similaires avec une quantité de cuivre qui a diffusé àpeine plus élevée que la barrière SiCN. Il est à noter que les mesures faites par SIMS et pardissolution donnent des résultats similaires pour l’ensemble des films testés. Cela confirmela maturité de ces procédés pour réaliser une barrière conforme et homogène sur toute lasurface de la plaque.

Tableau 4.6 – Résultats de mesure par SIMS et par dissolution de la diffusion de cuivrepour les barrières avancées.

Type de Permittivité Mesure SIMS Mesure par LPD

barrière relative (at.cm2) (at.cm2)

SiCN référence 5 4,5.1011 3,3.1011

SiC:N 4,75 1,5.1013 2,4.1013

SiC:H 4,4 4,4.1011 6.1011

SiCN bi-couche 4,1 5,5.1011 6,1.1011

Les profils SIMS des deux barrières SiC ont été comparés à ceux de la référence SiCN(figure 4.18). Le profil obtenu avec la bicouche SiCN n’est pas représenté car il est très prochede celui de la référence. Une quantité de cuivre non négligeable diffuse à travers le film SiC:N.Le processus est proche de l’empilement sans barrière avec une forte concentration de cuivreà l’interface entre l’oxyde tampon et la couche sous-jacente. Dans le cas du film SiC:H, lecuivre diffuse très peu à travers la barrière de sorte que, comme pour la référence SiCN, leprofil dans l’oxyde peu être considéré comme plat car en limite de détection de l’appareil demesure. Un point intéressant est la quantité de cuivre présente dans chacune des barrières.La valeur en at.cm−3 n’est pas exacte dans cette zone car la mesure par SIMS a été calibréesur une cible d’oxyde dopée au cuivre, donc pour la couche tampon. En revanche, il estpossible de comparer les teneurs en cuivre d’un film barrière à l’autre. Le film SiC:H bloquela diffusion du cuivre dans l’oxyde mais une quantité importante de cuivre est présent dansla barrière par rapport au film de référence SiCN. Ce niveau est équivalent pour les deux

158

Page 171: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

barrières SiC, alors que la barrière bicouche SiCN (non représentée sur la figure) possèdeun niveau équivalent à celui de la référence. Les performances globales sont donc identiquesmais les barrières SiC risquent d’être rapidement limitées si la densité est encore réduite parrapport à la solution bicouche.

0 250 500 750 10001E14

1E16

1E18

1E20

1E22

SiO2

Con

cent

ratio

n (a

t.Cu/

cm3 )

Profondeur de gravure (A)

Sans barrière SiC:N SiC:H SiCN standard

SiO2Barrière

Figure 4.18 – Profils de cuivre dans l’oxyde tampon pour différentes barrières diélectriquesmesurés par SIMS.

Enfin, les performances en tant que barrière à la diffusion du cuivre ont été représentéespour les différents films testés en fonction de leur permittivité relative (figure 4.19). La ten-dance amorcée par l’évolution du film SiN vers le film SiCN faisait craindre une détériorationrapide des propriétés de barrière à la diffusion du cuivre à cause du besoin de réduire la per-mittivité relative de ces films. L’exemple du film SiC:N montre combien cette propriété peutêtre difficile à maintenir à un niveau acceptable (figure 4.19). Cependant, avec des solutionstechnologiques pour stabiliser les films (plasma hydrogène ou hélium) ou avec des architec-tures plus complexes (film SiCN bicouche), il est possible de réduire la permittivité relativedes barrières diélectriques vers des valeurs proches de 4 sans dégrader les performances visà vis de la diffusion du cuivre. Des solutions existent donc pour offrir une barrière efficaceen adéquation avec les demandes en terme de performances électriques des circuits pour latechnologie 45 nm .

159

Page 172: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

7 6 5 41E9

1E10

1E11

1E12

1E13 Références Type SiC Bicouche SiCN

Con

cent

ratio

n (a

t.Cu/

cm2 )

Permittivité relative

Figure 4.19 – Performances de barrière à la diffusion du cuivre en fonction de la permittivitérelative des films évalués.

4.3.2 Détermination des propriétés barrières à la diffusion d’oxy-gène

Comme pour le cuivre, la diffusion d’espèces oxydantes vers les lignes et vias des inter-connexions doit être évitée. Il est donc nécessaire de caractériser cette propriété pour lesbarrières diélectriques afin d’évaluer le potentiel des solutions à faible permittivité relativeproposées. Nous allons voir, dans cette sous-section, quelle méthode a été mise en place pourtester cette propriété sur des films minces diélectriques et quels sont les résultats obtenus parles barrières proposées pour la génération 45 nm. Les contraintes imposées pour la méthodede détermination de l’efficacité en tant que barrière à la diffusion du cuivre sont les mêmesici : évaluation la plus proche possible du procédé d’intégration, adaptable à tout type debarrière (diélectrique, auto-positionnée ou métallique).

- Oxydation du cuivre et mesure -

Pour satisfaire les contraintes précitées, la mesure de l’efficacité de barrière doit êtreréalisée après l’empilement standard cuivre et le dépôt de la barrière. Comme l’espèce conta-minante est oxydante, la détection peut être faite au travers de l’oxydation de la surface ducuivre. La réflectivité est une propriété sensible à l’épaisseur d’oxyde de cuivre formé [Der02].Ainsi, la différence de réflectivité du cuivre encapsulé par la barrière diélectrique avant untest d’oxydation et après ce test donne une indication sur l’oxydation du cuivre et donc ladiffusion potentielle d’espèces oxydantes au travers de la barrière. Le principe de la mesureest donné sur la figure 4.20. Le test d’oxydation consiste à laisser la plaque de cuivre dansune chambre à 335C sous une atmosphère riche en oxygène gazeux (débit de 2000 sccm

160

Page 173: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

pour une pression régulée dans la chambre de 9 Torr) pendant un temps donné. L’emploide l’oxygène gazeux permet de se placer dans les conditions les plus défavorables en termede taille d’espèce oxydante puisque c’est la molécule la plus petite qui puisse conduire àl’oxydation du cuivre. Le terme perméabilité peut être employé pour décrire l’efficacité debarrière à la diffusion d’oxygène à l’état gazeux.

(a) Mesure de la réflectivité d’une couche de cuivreencapsulée par une barrière diélectrique.

(b) Mesure de la réflectivité après diffusion d’oxy-gène à travers la barrière diélectrique.

Figure 4.20 – Schéma de principe de la mesure de perméabilité d’une barrière diélectriqueà la diffusion d’oxygène gazeux.

Pour vérifier la sensibilité de cette approche, l’évolution de la formation d’oxyde decuivre sur une surface nue, obtenue avec les étapes du procédé standard, a été suivie et reliéeaux mesures de réflectivité. Deux échantillons ont été oxydés avec un temps de traitementd’une minute pour le premier et de trois minutes pour le second. La mesure de réflectivité,effectuée sur un équipement de métrologie en salle blanche, est une valeur moyenne à partirde huit mesures réparties en croix et une mesure au centre de la plaque. La réflectivitémoyenne de la surface de cuivre après polissage mécano-chimique est de 0,5. Avec un tempsd’oxydation de 60 secondes, la réflectivité chute à 0,14. Cette valeur devient quasimentnulle, 0,02, pour l’oxydation de 3 minutes. L’effet sur la surface de cuivre est mis en évidencepar microscopie électronique (figure 4.21). Le premier échantillon présente une oxydationpartielle de la surface suivant des orientations bien définies (figure 4.21(a), image de gauche).La coupe MET (figure 4.21(b), image de gauche) dans une zone oxydée, montre la présencede deux couches d’oxyde distinctes : une couche fine au contact du film de cuivre qui apparaîtrelativement dense et une couche plus épaisse mais moins dense en surface. Quelque soit latempérature et la pression durant d’oxydation, le cuivre donne lieu à deux phases d’oxyde :une couche de Cu2O encapsulée par une couche de CuO [Zhu02]. Dans le cas d’un traitementà basse température, inférieure à 400C, la couche de CuO n’est pas suffisamment dense pourbloquer l’oxydation. Augmenter le temps de traitement permet donc d’augmenter l’épaisseurde la couche dense Cu2O, formée de grain colonnaire [Zhu02]. Ainsi, l’échantillon traitépendant 3 minutes présente la même épaisseur d’oxyde CuO que le film traité durant 1minutes mais une épaisseur d’oxyde Cu2O beaucoup plus importante (figure 4.21(b), imagede droite). Dans ce cas, la surface est entièrement recouverte d’oxyde de cuivre avec unetopographie plus accidentée (figure 4.21(a), image de droite). Donc, l’épaisseur de l’oxyde decuivre formé (phase Cu2O majoritairement) dépend du temps d’oxydation, c’est à dire de laquantité d’atomes d’oxygène qui entrent en contact avec la surface de cuivre. La mesure de

161

Page 174: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

(a) Observation MEB en vue de dessus du film de cuivre oxydé pendant 1 minute(photos de gauche) et 3 minutes (photos de droites).

(b) Coupe MET du film de cuivre oxydé pendant 1 minute (coupe de gauche) et 3minutes (coupe de droite).

Figure 4.21 – Observations par microscopie électronique du film de cuivre oxydé.

réflectivité n’est plus sensible pour de telles épaisseurs d’oxydes. En revanche, les premiersstades de formation de l’oxyde, lorsque celui-ci ne recouvre pas toute la surface, dégradentfortement la réflectivité. C’est donc une méthode très sensible pour détecter une éventuelleoxydation de la surface du cuivre.

Une dernière mesure sur cuivre nu a été réalisée, en atmosphère ambiante (dans la salleblanche), pour vérifier l’influence de l’oxydation naturelle due à un temps d’attente entre laphase de polissage mécano-chimique et la phase de dépôt de la barrière. Le polissage utiliseune chimie qui permet de passiver la surface de cuivre pour la protéger durant cette phased’attente et limiter l’oxydation. La mesure de réflectivité en 9 points sur la plaque a étéréalisée sur plusieurs jours en laissant l’échantillon dans les boites de transport utilisées ensalle blanche (figure 4.22(a)). Cette mesure permet de donner la sensibilité de la méthodeen dessous de laquelle il ne sera plus possible de distinguer une oxydation due à la diffusiond’oxygène au travers de la barrière et une oxydation naturelle due au temps d’attente entre lamesure de réflectivité et le traitement oxydant. Cette oxydation naturelle est très faible pourdes temps supérieurs à 100 heures (figure 4.22(a)), puisque la valeur moyenne de réflectivité

162

Page 175: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

0 50 100 150 200 2500,50

0,52

0,54

0,56

0,58

0,60

Réf

lect

ivité

Temps d'attente (heure)

(a) Effet du temps d’attente avant dépôt sur laréflectivité de la surface de cuivre nu.

0,0

0,1

0,2

0,3

0,4

0,5

SiC:NSiC:HSiCNstandard

Réf

lect

ivité

Sansbarrière

(b) Influence du dépôt de la barrière sur la mesurede réflectivité d’une surface de cuivre.

Figure 4.22 – Paramètres influents sur la méthode de mesure de la perméabilité des barrièresdiélectriques.

ne varie quasiment pas. L’écart est inférieur à 2% pour des temps d’attente de plusieursjours ce qui confirme que la couche de cuivre après polissage est correctement protégée pournégliger l’influence du temps d’attente entre mesure de réflectivité et traitement d’oxydation.

Le dernier point à vérifier pour cette méthode de mesure est l’influence de la couchediélectrique sur la mesure de réflectivité (figure 4.22(b)). Le dépôt d’une barrière d’épaisseur40 nm entraîne une réduction de la réflectivité de 0,5 à 0,2 en moyenne. Cette valeur variepeu d’un type de barrière à l’autre. Malgré cette baisse importante, la plage de variationest suffisamment grande par rapport aux mesures faites sur cuivre oxydé pour détecter laperméabilité de la barrière. Dans la suite de ce travail, les mesures de réflectivité de lasurface de cuivre à travers les barrières sont ramenées à la valeur après dépôt pour pouvoirexprimer la réflectivité en pourcentage et comparer le comportement des différentes couchesdiélectriques indépendamment de l’influence de la barrière sur la mesure avant oxydation.

- Évaluation des barrières -

À partir de ces mises au point sur la méthode de mesure, un premier essai a été réalisésur une barrière SiC non stabilisée qui présente des propriétés médiocres. L’oxydation a étéréalisée en plusieurs essais selon les conditions décrites plus haut, une mesure de réflectivitéétant prise entre chaque oxydation. La mesure de réflectivité indique une oxydation assezrapide de la surface de cuivre (figure 4.23). En comparant à l’évolution observée sur la plaquesans barrière, il apparaît que cette barrière SiC non stabilisée est très perméable à la diffusiond’oxygène gazeux. Avec un temps d’oxydation inférieur à 100 secondes, la réflectivité diminuede 50%. Cette barrière non stabilisée présente donc une perméabilité à l’oxygène importante.

163

Page 176: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

0 100 200 300 400 5000

20

40

60

80

100

Réf

lect

ivité

(%)

Temps d'oxydation (s)

Barrière SiC non stabilisée Cu sans barrière

Figure 4.23 – Evolution de la réflectivité de la surface de cuivre pour la couche SiC nonstabilisée en fonction du temps d’oxydation.

Une coupe MET a été réalisée pour mesurer l’épaisseur d’oxyde de cuivre qui s’est formésous la barrière (figure 4.24(a)). Cette épaisseur est en moyenne comprise entre 5 et 6 nm,pour un temps d’oxydation de 480 secondes. Il est à noter que dans certaines zones (de typejoint de grain), cette couche oxydée peut atteindre 20 nm de profondeur. Pour confirmer lecaractère oxydé de cette couche, une analyse EELS dans une zone présentant une épaisseurmodifiée importante a été réalisée (figure 4.24(b)). Le profil de carbone permet de repérerles trois couches analysées. À partir de là, le profil d’oxygène montre que la barrière contientde l’oxygène ainsi que la surface de la couche de cuivre. Ce profil confirme la mauvaiseperméabilité de la barrière à la diffusion de l’oxygène. De plus, l’absence de plasma destabilisation entraîne une oxydation du film SiC qui doit dégrader sa permittivité relativeet favoriser la diffusion des espèces oxydantes. Enfin, en regardant la zone de cuivre oxydé,deux couches apparaissent : une première couche très fine avec une forte teneur en oxygène,type CuO, et une deuxième couche sous-jacente plus homogène contenant une proportion plusgrande de cuivre que d’oxygène, de type Cu2O. Le mécanisme d’oxydation est donc bien celuimis en évidence précédemment sur les échantillons sans barrière. Contrairement au schémaprésenté en début de cette section et les observations faites sur cuivre nu, l’oxydation n’apas induit de topographie déformant la barrière.

Cette oxydation dégrade les performances électriques d’une ligne de cuivre. En prenant uncas simple d’une ligne dont la zone de surface sur 5 nm d’épaisseur est transformée en oxydede cuivre, avec une résistivité nulle pour cette couche oxydée, il est possible de calculer lavariation de la résistance de la ligne en fonction de ces dimensions. Les dimensions prises sontcelles des trois générations technologiques simulées à la section 4.1 : 65, 45 et 32 nm (détaildes dimensions dans le tableau 4.1, page 137). La résistivité du cuivre a été fixée à 1,7µΩ.cmpour les trois technologies, sachant que l’effet d’augmentation de cette résistivité avec laréduction des dimensions jouera en défaveur de l’oxydation et donc accentuera son effet. La

164

Page 177: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.3. DÉTERMINATION DES PERFORMANCES BARRIÈRES

(a) Coupe MET de la barrière SiC non stabiliséeaprès le test d’oxydation.

0 20 40 60 800,0

2,0x104

4,0x104

6,0x104

8,0x104

1,0x105

Oxyde

O Cu C

Cou

ps

Profondeur (nm)

Barrière SiC Cuivre

(b) Profil de composition chimique EELS à partirde la coupe MET de la barrière SiC non stabilisée.

Figure 4.24 – Caractérisation de la diffusion d’oxygène au travers de la barrière SiC nonstabilisée.

résistance de la ligne augmente de 2,3, 3,7 et 5,3%, respectivement pour la génération 65,45 et 32 nm. Ces résultats montrent qu’il est indispensable de bloquer la diffusion d’espècesoxydantes pour ne pas dégrader les performances du circuit. De plus, l’adhésion entre labarrière diélectrique et la couche d’oxyde est moins bonne qu’avec le cuivre non oxydé. Celainfluence la tenue à l’électromigration, et joue donc sur la fiabilité du circuit.

0 500 1000 1500 2000 25000

20

40

60

80

100

Réf

lect

ivité

(%)

Temps d'oxydation (s)

SiCN 40 nm SiCN 10 nm SiC non stabilisé

Figure 4.25 – Mesure de la réflectivité pour différentes barrières en fonction du tempsd’oxydation.

165

Page 178: Etude de matériaux diélectriques à très faible permittivité déposés ...

CHAPITRE 4. ÉTUDE DES BARRIÈRES DIÉLECTRIQUES À FAIBLEPERMITTIVITÉ (εR<4,5)

La barrière standard SiCN a été testée selon le même protocole. Contrairement au film SiCnon stabilisé, cette barrière est parfaitement étanche à la diffusion de l’oxygène (figure 4.25).Il n’y a aucune variation de la réflectivité pour un temps de traitement supérieur à 1500 se-condes. Le même test a été réalisé sur les barrières SiC stabilisées et la barrière bicoucheSiCN. Le comportement est identique à celui de la référence SiCN. La réduction de permitti-vité relative du film n’a pas pour effet de modifier la perméabilité de la barrière à l’oxygène.L’influence de l’épaisseur a aussi été évaluée sur la barrière standard SiCN (figure 4.25). Ilapparaît une légère diminution de la réflectivité pour des temps d’oxydation supérieurs à1000 secondes. Cette variation est cependant très faible en comparaison du comportementde la barrière SiC non stabilisée. La perméabilité aux espèces oxydantes n’est donc pourl’instant pas une propriété critique pour les barrières diélectriques.

4.4 Conclusions

À partir des simulations de propagation d’une onde électromagnétique dans des lignesde cuivre, nous avons montré la nécessité de réduire la permittivité relative des barrièresdiélectriques pour ne pas dégrader les performances obtenues avec les isolants interlignespour les générations technologiques 45 et 32 nm. Cette nécessité peut être contrebalancéepar une réduction de l’épaisseur de la barrière. Dans les deux cas, cela ne doit pas être obtenuau détriment des propriétés de barrière pour maintenir la fiabilité des dispositifs.

Deux solutions ont été évaluées dans cette étude pour obtenir une barrière diélectrique defaible permittivité. La première méthode consiste à déposer un film de type SiC. Cette couchenécessite l’ajout d’un plasma de stabilisation pour offrir un matériau intéressant avec unepermittivité relative de 4,4. La deuxième méthode est une bicouche SiCN composée d’unefine couche très dense pour les propriétés barrières et d’une couche épaisse peu dense pourcontrebalancer la permittivité relative de la couche d’initiation et permettre d’obtenir unfilm de 40 nm d’épaisseur avec une permittivité relative de 4,1. Ces deux approches offrentdes caractéristiques intéressantes du point de vue électrique et stabilité. Il a fallu ensuiteévaluer leurs propriétés de barrière à la diffusion.

Deux espèces doivent être bloquées : le cuivre, des lignes et vias qui peut diffuser etcourt-circuiter les lignes ou niveaux adjacents, et les espèces oxydantes, piégées dans l’isolantporeux qui peuvent venir oxyder les lignes de cuivre et dégrader leurs performances.

Nous avons développé deux méthodes de caractérisation de l’aptitude à bloquer la diffusionde ces espèces. La première technique utilise l’analyse d’une solution de dissolution d’unoxyde tampon, servant à capter le cuivre qui aurait diffusé au travers de la barrière. Cetteapproche a été validée par comparaison avec des mesures références par sonde ionique. Ellepermet d’évaluer l’efficacité de la barrière à bloquer la diffusion du cuivre sur l’ensemble dela plaque et de tester la maturité du procédé de dépôt. La deuxième méthode est basée sur ladiffusion d’oxygène gazeux au travers de la barrière qui est capté par une surface de cuivre.La révélation de cette diffusion passe par la détection de la formation d’oxyde de cuivre parmesure de réflectivité. Cette technique dispose d’une grande sensibilité pour des quantitésd’oxygène diffusé très faibles.

Les barrières diélectriques standard, SiN et SiCN (permittivité relative respectivementégale à 7 et 5), ont été évaluées avec ces deux méthodes. Elles présentent d’excellentespropriétés en tant que barrière à la diffusion du cuivre et de l’oxygène. La réduction de

166

Page 179: Etude de matériaux diélectriques à très faible permittivité déposés ...

4.4. CONCLUSIONS

la densité et de l’épaisseur de ces films détériorent les performances de blocage du cuivremais ne jouent pas sur la perméabilité à l’oxygène. En ce qui concerne les barrières à faiblepermittivité relative (type SiC stabilisée ou SiCN bicouche avec une permittivité relativecomprise entre 4,4 et 4,1), elles présentent elles aussi de bonnes propriétés de barrière à ladiffusion des deux espèces.

Le besoin de réduire encore la permittivité relative, pour les générations suivantes, im-pliquera de surveiller de près ces propriétés par la mise en œuvre des méthodes développéesici.

167

Page 180: Etude de matériaux diélectriques à très faible permittivité déposés ...
Page 181: Etude de matériaux diélectriques à très faible permittivité déposés ...

Conclusion et perspectives pour lesgénérations sub-45 nm (32 nm - 22 nm)

Les besoins en performance des générations sub-65 nm impliquent l’utilisation de films di-électriques à très faible permittivité dans les interconnexions. Avec l’introduction du cuivre,deux films diélectriques interviennent dans l’architecture : un isolant entre les lignes mé-talliques et une barrière diélectrique recouvrant le dessus de ces lignes. Pour la génération45 nm, le film isolant interligne de très faible permittivité est obtenu en introduisant de laporosité dans une matrice SiOC. Ces films poreux sont un véritable saut technologique pourl’ensemble de la chaîne de réalisation des interconnexions. Les barrières diélectriques doiventaussi présenter une permittivité relative faible. Dans ce but, le film SiCN, utilisé pour lagénération 65 nm, doit être remplacé par un matériau présentant les mêmes caractéristiquesbarrière mais une densité moindre pour satisfaire les besoins en performances électriques.

Nous avons d’abord étudié l’isolant interligne en dépôt CVD en utilisant le procédé Orionproposé par Trikon. C’est un procédé de formation d’un film SiOC poreux par restructura-tion, obtenu en deux étapes :

1. dépôt par voie chimique en phase vapeur assisté par plasma d’un film SiOC dense,faiblement réticulé. Il présente des propriétés diélectriques médiocres mais une forteproportion de groupements méthyles et hydroxyles ;

2. traitement thermique assisté par un plasma d’hydrogène qui permet de former la struc-ture poreuse et de donner au film ses propriétés finales. Cette étape de restructurations’appuie sur la condensation des groupements hydroxyles entre eux autour des grou-pements méthyles pour déformer la matrice dense et rendre le film poreux.

Ce procédé provoque aussi une relation entre l’épaisseur finale du film et sa structure poreuse :plus le film est épais et plus il est poreux. Sa permittivité relative varie donc en fonction del’épaisseur du film utilisé. Dans le cas de celle requise pour l’intégration dans une technologie45 nm la valeur obtenue est de 2,4. Le film offre aussi des caractéristiques intéressantes dupoint de vue stabilité : faible reprise d’humidité qui est réversible avec un simple recuit.

Pour déterminer les propriétés mécaniques de ce film poreux, la nanoindentation a étéutilisée pour obtenir les caractéristiques majeures : le module d’élasticité et la dureté. Uneméthodologie, développée autour de la mesure sur des empilements, a permis d’extraire lesperformances mécaniques du film mince, validée par une autre technique de mesure, sanscontact par onde acoustique. Un traitement thermique complémentaire assisté par rayonne-ment ultraviolet a été étudié pour améliorer ces propriétés. La couche dense de surface, detype SiOx, absorbe ou réfléchit une partie du rayonnement et empêche le traitement de la

169

Page 182: Etude de matériaux diélectriques à très faible permittivité déposés ...

Conclusion générale

zone poreuse sous-jacente. En retirant cette couche dense par un traitement approprié, nousavons montré l’efficacité du recuit ultraviolet pour améliorer les performances mécaniquesdu film. Cette efficacité varie avec la densité du matériau poreux. Un point de fonctionne-ment peut être obtenu pour augmenter les propriétés mécaniques avec une dégradation depermittivité relative faible. Ce point doit être adapté à l’épaisseur du film à traiter.

Pour terminer, la faisabilité de l’intégration de ce film a été évaluée dans une architecture65 nm. Le film Orion, gradé en profondeur, offre des performances comparables à celles d’unfilm poreux homogène recouvert d’une couche de masque dur SiO2.

À partir de simulations de propagation d’ondes électromagnétiques dans une structured’interconnexions, nous avons montré la dégradation de la permittivité effective de l’empile-ment lors de l’introduction d’une barrière diélectrique. Cette dégradation peut être contenueen modifiant la permittivité relative ou l’épaisseur de ces films barrières.

Deux solutions, proposées pour la technologie 45 nm, ont été évaluées : un film SiCstabilisé par plasma et une structure bicouche SiCN. Les propriétés de ces deux approchessont intéressantes en terme de permittivité relative (comprise entre 4,1 et 4,4 selon le procédé)et de stabilité.

Les propriétés barrière ont été évaluées par rapport à la diffusion des deux espèces cri-tiques dans l’empilement : le cuivre qui ne doit pas quitter les lignes et les contaminantsoxydants, piégés dans l’isolant, qui ne doivent pas atteindre le cuivre. Pour évaluer ces per-formances sur les barrières diélectriques, deux méthodes ont été mises au point : la dissolutionen phase liquide couplée à la spectrométrie d’absorption atomique (LPDAAS) pour le cuivreet la mesure par réflectivité pour l’oxygène. Pour le cuivre, nous avons mis en évidenceune dépendance de la performance barrière en fonction de l’épaisseur de la couche. Ce typede comportement n’a pas pu être détecté pour l’oxygène. Les performances des solutionsSiC stabilisé et bicouche SiCN sont suffisantes pour la génération 45 nm mais les résultatsmontrent qu’on ne pourra réduire continuellement densité et épaisseur de ces films pour lesprochaines générations.

Comme nous venons de le voir tout au long de cette étude, les prochaines générationsnécessitent des matériaux de plus en plus complexes à réaliser. De plus, les propriétés deman-dées sont un compromis de plus en plus délicat à trouver entre besoin de réduire la permit-tivité relative et nécessité de limiter la dégradation des autres facteurs, physico-chimiques etmécaniques. Ce travail a montré un chemin efficace pour obtenir ces matériaux diélectriquesà très faible permittivité sans dégrader les propriétés importantes : la tenue mécanique pourles films isolants interlignes et l’efficacité de barrière à la diffusion des couches barrières.

170

Page 183: Etude de matériaux diélectriques à très faible permittivité déposés ...

Bibliographie

[Arn00] Arnal V., Torres J., Gayet P., Haond M., Verove C., Descouts B., etSpinelli P., «A novel SiO2-air gap low-k for copper dual damascene interconnect»,Proceedings of Advanced Metallization Conference, San Diego, California, U.S.A,p. 71–76 (October 2-5, 2000).

[Arn03a] Arnal V., Bermond C., Fléchet B., Farcy A., Lacrevaz T., Charlet F.,Gosset L.G., Torres J., et Angénieux G., «Investigation of delay, crosstalkand crosstalk delay in sub 90 nm CMOS interconnects», Proceedings of AdvancedMetallization Conference, Montreal, Canada (October 21-23, 2003).

[Arn03b] Arnaud L., Berger T., et Reimbold G., «Evidence of grain boundary versusinterface diffusion in electromigration experiments in copper damascene intercon-nects», Journal of Applied Physics, volume 93(1), p. 192–204 (2003).

[Bak00] Baklanov M., Mogilnikov K., Polovinkin V., et Dultsev F., «Determinationof pore size distribution in thin films by ellipsometric porosimetry», Journal ofVacuum Science and Technology B, volume 18(3), p. 1385–1391 (2000).

[Bak01a] Baklanov M., Kondoh E., Lin E., Gidley D., Lee H.J., Mogilnikov K., etSun J., «Comparative study of porous SOG films with different non-destructiveinstrumentation», Proceedings of International Interconnect Technology Confe-rence, San Francisco, California, U.S.A., p. 189–191 (June 4-6, 2001).

[Bak01b] Baklanov M., et Mogilnikov K., «Non-destructive characterisation of porosityand pore size distribution in porous low-k dielectric films», Proceedings of Inter-national Conference on Solid-State and Integrated-Circuit Technology, Shangai,China, volume 1, p. 352–357 (October 22-25, 2001).

[Bam01] Bamber M., Cooke K., Mann A., et Derby B., «Accurate determination ofYoung’s modulus and Poisson’s ratio of thin films by a combination of acousticmicroscopy and nanoindentation», Thin Solid Films, volume 398-399, p. 299–305(2001).

[Bar00] Barth E., Ivers T., McLaughlin P., McDonald A., Levine E., Greco S.,Fitzsimmons J., Melville I., Spooner T., Dewan C., Chen X., Manger D.,Nye H., McGahay V., Biery G., Goldbatt R., et Chen T., «Integration ofcopper and fluorosilicate glass for 0.18µm interconnections», Proceedings of Inter-national Interconnect Technology Conference, San Francisco, California, U.S.A., p.219–221 (June 5-7, 2000).

[Bea05] Beaudoin S., Graham S., Jaiswal R., Kilroy C., Kim B.S., Kumar G.,et Smith S., «An update on low-k dielectrics», Electrochemical Society Interface,volume 14(2), p. 35–39 (2005).

171

Page 184: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Bla03] Blasco N., «Trikon 4MS SiOCH exhaust characterization», (2003), rapport derecherche Air Liquide non publié.

[Buc01] Buchanan K., Beekmann K., Giles K., Yeoh J.C., et Donohue H., «Cha-racterization and integration of CVD ultra low-k films (k<2.2) for dual damasceneIMD applications», Proceedings of Advanced Metallization Conference, Montreal,Canada, p. 73–78 (October 8-11, 2001).

[Car00] Carey F.A., Organic Chemistry 4th Edition, Boston : McGraw-Hill Companies(2000).

[CD99] Courtot-Descharles A., Pires F., Paillet P., et Leray J., «Density functio-nal theory applied to the calculation of dielectric constant of low-k materials»,Microelectronic Reliability, volume 38, p. 279–284 (1999).

[Ché05] Chérault N., Carlotti G., Casanova N., Gergaud P., Goldberg C., ThomasO., et Verdier M., «Mechanical characterization of low-k and barrier dielectricthin films», Microelectronic Engineering, volume 82, p. 368–373 (2005).

[Ché06] Chérault N., Caractérisation et modélisation thermo-mécanique des couches d’in-terconnexions dans les circuits sub-microélectroniques, Thèse de doctorat, Ecole desMines de Paris (2006).

[Cha04] Chapelon L.L., Vitiello J., Mastromatteo E., Barbier D., Ferrieu F., etTorres J., «Characterisation of a CVD porous SiOCH (in k (2.2-2.5) range) andevaluation of different post-treatments improving mechanical properties for 45 nmnode and below», Proceedings of Advanced Metallization Conference, San Diego,California, U.S.A., p. 393–402 (October 19-21, 2004).

[Cha05] Chapelon L.L., Vitiello J., Neira D., Barbier D., Royer J.C., Torres J.,Naudin F., Tas G., Mukundhan P., et Clerico J., «Measuring the Young’smodulus of ultra low-k materials with the non destructive picosecond ultrasonicmethod», Microelectronic Engineering (2005), à paraître.

[Che04] Chen F., Gill J., Harmon D., Sullivan T., Li B., Strong A., Rathore H.,Edelstein D., Yang C.C., Cowley A., et Clevenger L., «Measurements ofeffective thermal conductivity for advanced interconnect structures with variouscomposite low-k dielectrics», Proceedings of International Reliability Physics Sym-posium, Phenix, Arizona, U.S.A., p. 68–73 (April 25-29, 2004).

[Che06] Chen F., Gill J., Harmon D., Sullivan T., Strong A., Li B., Rathore H.,et Edelstein D., «Determination of the thermal conductivity of composite low-k dielectrics for advanced interconnect structures», Microelectronics Reliability,volume 46, p. 232–243 (2006).

[Chh05] Chhun S., Gosset L.G., Casanova N., Ney D., Delille D., Trouiller C.,Grégoire M., Gautier B., Dupuy J.C., et Torres J., «Impact of introdu-cing CuSiN self-aligned barrier in advanced copper interconnects», MicroelectronicEngineering, volume 82, p. 587–593 (2005).

[Cia06] Ciaramella F., Jousseaume V., Maitrejean S., Verdier M., Remiat B.,Zenasni A., et Passemard G., «Crosslinking impact of mesoporous MSQ filmsused in microelectronic interconnections on mechanical properties», Thin SolidFilm, volume 495(1-2), p. 124–129 (2006).

172

Page 185: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Coe93] Coelho R., et Aladenize B., Les diélectriques : propriétés diélectriques des ma-tériaux isolants, Paris : HERMES (1993), 230 p.

[Cos02] Costescu R.M., Bullen A.J., Matamis G., O’Hara K.E., et Cahill D.G.,«Thermal conductivity and sound velocity of hydrogen-silsesquioxane low-k die-lectrics», Physical Review B, volume 65 (2002).

[Del03] Delan A., Rennau M., Schulz S., et Gessner T., «Thermal conductivity ofultra low-k dielectrics», Microelectronic Engineering, volume 70, p. 280–284 (2003).

[Der02] Derin H., et Kantarli K., «Optical characterization of thin thermal oxide filmson copper by ellipsometry», Applied Physics A, volume 75, p. 391–395 (2002).

[Far04] Farcy A., Cueto O., Blampey B., Lacrevaz T., Flechet B., De Crecy B.,et Torres J., «Presentation of a new time domain simulation tool and applicationto the analysis of advanced interconnect performance dependance on design andprocess parameters», Proceedings of 8th IEEE Workshop on Signal Propagation onInterconnects, Heidelberg, Germany, p. 17–20 (May 9-12, 2004).

[Fav04] Favennec L., Jousseaume V., Rouessac V., Fusalba F., Durand J., et Pas-semard G., «Porous extreme low-k (ELK) dielectrics using PECVD porogen ap-proach», Materials Science in Semiconductor Processing, volume 7, p. 277–282(2004).

[Fou03] Fournié R., et Coelho R., «Diélectriques : bases théoriques», Techniques del’Ingénieur, volume D2 300, p. 6–8 (2003).

[Fox05a] Fox R., Hinsinger O., Richard E., Sabouret E., Berger T., Goldberg C.,Humbert A., Imbert G., Brun P., Ollier E., Maurice C., Guillermet M.,Monget C., Plantier V., Bono H., Zaleski M., Mellier M., JacqueminJ.P., Flake J., Sharma B., Broussous L., Farcy A., Arnal V., Gonella R.,Maubert S., Girault V., Vanier P., Reber D., Schussler A., Mueller J.,et Besling W., «High performance k=2.5 ULK backend solution using an impro-ved TFHM architecture, extendible to the 45 nm technology node», Proceedings ofInternational Electron Devices Meeting, Washington, DC, U.S.A., p. 87–90 (De-cember 5-7, 2005).

[Fox05b] Fox R., Hinsinger O., Sabouret E., Goldberg C., Humbert A., Brun P.,Ollier E., Monget C., Verove C., Plantier V., Belmont O., Mellier M.,Jacquemin J.P., Flake J., Vannier P., Sharma B., Bunel D., Reber D.,Farcy A., Besling W., Arnal V., Mueller J., Cregut C., Berger T., Det-cheverry C., Gonella R., et Girault V., «Manufacturable trench first hardmask backend solution optimized for the k=2.5 ULK 65 nm technology node»,Proceedings of Symposium on VLSI technology, Kyoto, Japan (June 14-16, 2005).

[Fre03] Freund L., et Suresh S., Thin film materials : stress, defect formation and sur-face evolution, Cambridge University Press (2003).

[Fro58] Frohlich H., Theory of dielectric constant and dielectric loss, Oxford : Clarendon(1958), 192 p.

[Fuj03] Fujita K., Miyajima H., Nakata R., et Miyashita N., «Notable improvementin porous low-k films properties using electron-beam cure method», Proceedings

173

Page 186: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

of International Interconnect Technology Conference, San Francisco, California,U.S.A. (June 2-4 2003).

[Gor01] Gorman B., Orosco-Teran R., Roepsch J., Dong H., Reidy R., et MuellerD., «High strength, low dielectric constant fluorinated silica xerogel films», AppliedPhysics Letters, volume 79(24), p. 4010–4012 (2001).

[Gos03] Gosset L.G., Arnal V., Chhun S., Casanova N., Mellier M., ReynardJ.P., Broekaart M., Brun P., Federspiel X., Guillaumond J.F., ArnaudL., et Torres J., «Integration and characterization of a self-aligned barrier toCu diffusion based on copper silicide», Proceedings of the Advanced MetallizationConference, Montreal, Canada, p. 321–327 (October 21-23, 2003).

[Gos04] Gostein M., Mazurenko A., Maznev A.A., et Schulberg M.T., «Measu-ring Young’s modulus of low-k dielectrics using surface acoustic waves», MicroMagazine, p. 51–61 (2004).

[Gos05] Gosset L.G., Farcy A., De Pontcharra J., Lyan P., Daamen R., Verheij-den G., Arnal V., Gaillard F., Bouchu D., Bancken P., Vandeweyer T.,Michelon J., Nguyen Hoang V., Hoofman R., et Torres J., «Advanced Cuinterconnects using air gaps», Microelectronic Engineering, volume 82, p. 321–332(2005).

[Got05] Goto K., Hashii S., Matsumoto M., Miura N., Furusawa T., MatsuuraM., Ohsaki A., Ohara N., Tsuji N., et Matsushita K., «Film characterizationand integration of UV cured ultra low-k for 45 nm node Cu/low-k interconnects»,Proceedings of Advanced Metallization Conference, Colorado Springs, Colorado,U.S.A., p. 277–283 (September 27-29 2005).

[Gri00] Grill A., et Patel V., «Novel low-k dual-phase materials prepared by PECVD»,Proceedings of Materials Research Society Symposium, San Francisco, California,U.S.A., volume 612, p. 291–297 (April 23-27, 2000).

[Gri02] Grill A., Patel V., Rodbell K., Huang E., Christiansen S., et BaklanovM., «Characteristics of low-k and ultra low-k PECVD deposited SiCOH films»,Proceedings of Materials Research Society Symposium, San Francisco, California,U.S.A., volume 716, p. 569–574 (April 1-5, 2002).

[Gri03] Grill A., et Neumayer D.A., «Structure of low dielectric constant to extremelow dielectric constant SiCOH films : Fourier transform infrared spectroscopy cha-racterization», Journal of Applied Physics, volume 94(10), p. 6697–6707 (2003).

[Gui05] Guillaumond J.F., Etude de la résistivité et de l’électromigration dans les inter-connexions destinées aux technologies des nœuds 90 nm - 32 nm, Thèse de doctorat,Université Joseph Fourier - Grenoble 1 (2005).

[Hat05] Hatton B.D., Landskron K., Whitnall W., Perovic D.D., et Ozin G.A.,«Spin-coated periodic mesoporous organosilica thin films - Towards a new gene-ration of low dielectric constant materials», Advanced Functional Materials, vo-lume 15(5), p. 823–829 (2005).

[HR04] Hau-Riege C.S., «An introduction to Cu electromigration», Microelectronics Re-liability, volume 44, p. 195–205 (2004).

174

Page 187: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Hu03] Hu C.K., Gignac L., Liniger E.G., Herbst D., Chen S., Kaldor S., SimonA., et Tseng W.T., «Comparison of Cu electromigration lifetime in Cu intercon-nects coated with various caps», Applied Physics Letters, volume 83(5), p. 869–871(2003).

[Hum05] Humbert A., Mage L., Goldberg C., Junker K., Proenca L., et Lhuillier J.,«Effect of plasma treatments on ultra low-k material properties», MicroelectronicEngineering, volume 82, p. 399–404 (2005).

[Hus05] Hussein M.A., «Material’s impact on interconnect process technology and re-liability», Transactions on Semiconductor Manufacturing, volume 18(1), p. 69–85(2005).

[Hwa06] Hwang N., Tan T.L., Cheng C.K., Du A., Gan C.L., et Pey K.L., «In-vestigation of intrinsic dielectric breakdown mechanism in Cu/Low-k interconnectsystem», Electron Device Letters, volume 27(4), p. 234–236 (2006).

[Iac05] Iacopi F., Waldfried C., Houthoufd K., Guyer E., Gage D., Carlotti G.,Travaly Y., Abell T., Escorcia O., Beyer G., Berry I., Dauskardt R.,et Maex K., «UV-assisted curing : an effective technique for toughening low-korganosilicate films», Proceedings of Advanced Metallization Conference, ColoradoSprings, Colorado, U.S.A., p. 247–254 (September 27-29, 2005).

[Ito05] Ito F., Takeuchi T., et Hayashi Y., «Improvement of mechanical propertiesof porous SiOCH films by post-cure treatments», Proceedings of Advanced Metal-lization Conference, Colorado Springs, Colorado, U.S.A., p. 291–296 (September27-29, 2005).

[ITR05] «International Technology Roadmap for Semiconductors», (2005),http://www.itrs.net.

[Jen01] Jennett N., Maxwell A., Lawrence K., McCartney L., Hunt R., Koski-nen J., Meneve J., Wegener W., Muukkonen T., Rossi F., Gibson N.,Xu Z., Bushby A., Brookes S., Cavaleiro A., Herrmann K., Bellaton B.,Consiglio R., Augereau F., Kolosov O., Schneider D., et Chudoba T., «In-dicoat final determination of hardness and modulus of thin films and coatings bynanoindentation», Rapport technique, NPL Report MATC(A)24 (May 2001).

[Jou04] Jousseaume V., Rochat N., Favennec L., Renault O., et Passemard G.,«Mechanical stress in PECVD a-SiC:H aging and plasma treatments effects», Ma-terials Science in Semiconductor Processing, volume 7, p. 301–305 (2004).

[Jun03] Jung S.B., Park H.H., et Kim H., «The role of vacuum ultraviolet in H2 plasmatreatment on SiO2 aerogel film», Applied Surface Science, volume 216, p. 156–162(2003).

[Jun05] Jung S.B., Han C.K., et Park H.H., «Electrical and mechanical properties ofsurfactant-templated mesoporous silica thin films using Brij-76 surfactant», Ap-plied Surface Science, volume 244, p. 47–50 (2005).

[Kat05] Kato M., Yoneda K., Nakao S., Kondo S., Kobayashi N., Matsuki N.,Matsushita K., Ohara N., Fukazawa A., Ikegawa T., et Kimura T., «Effectsof UV-cure time on electrical properties of Cu/porous SiOC interconnects», Procee-dings of Advanced Metallization Conference, Colorado Springs, Colorado, U.S.A.,p. 271–276 (September 27-29 2005).

175

Page 188: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Kaw00] Kawanoue T., Iijima T., Matsuda T., Yamada Y., Morikado M., SugimaeK., Kajiyama T., Maekawa H., Hamamoto T., Kumagai J., Kaneko H., etHayasaka N., «Quantitative analysis on Cu diffusion through TaN barrier metaland the device degradation by using two-level Cu-interconnects implemented 0,25µm - 256 Mbit DRAMs», Proceedings of International Interconnect TechnologyConference, San Francisco, California, U.S.A., p. 199–201 (June 5-7, 2000).

[Kaw03] Kawara J., Nakano A., Kunimi N., Kinoshita K., Hayashi Y., IshikawaA., Seino Y., Ogata T., Takahashi H., Sonoda Y., Yoshino T., Goto T.,Takada S., Ichikawa R., Miyoshi H., Matsuo H., Adachi S., et KikkawaT., «A new plasma enhanced co-polymerization (PCP) technology for reinforcingmechanical properties of organic silica low-k/Cu interconnects on 300mm wafers»,Proceedings of International Electron Devices Meeting, Washington, DC, U.S.A.(December 8-10, 2003).

[Kir39] Kirkwood J., «The dielectric polarisation of dielectric liquids», Journal of Che-micals and Physics, volume 7, p. 911–919 (1939).

[Kit94] Kittel C., Physique de l’état solide, Paris : Dunod Université (1994).

[Koh04] Kohmura K., Oike S., Murakami M., Tanaka H., Takada S., Seino Y.,et Kikkawa T., «A novel organosiloxane vapor annealing process for improvingelastic modulus of porous low-k films», Proceedings of Material Research SocietySymposium, San Francisco, California, U.S.A., volume 812, p. 85–90 (April 13-15,2004).

[Kur04] Kuroki S.I., Sakamoto S., et Kikkawa T., «A novel photosensitive porous low-k interlayer dielectric film», Japanese Journal of Applied Physics, volume 43(4B),p. 1820–1824 (2004).

[Lee05] Lee Y.J., Huang J.M., Kuo S.W., Lu J.S., et Chang F.C., «Polyimideand polyhedral oligomeric silsesquioxane nanocomposites for low-dielectric appli-cations», Polymer, volume 46, p. 173–181 (2005).

[Lid03] Lide D.R., Handbook of Chemistry and Physics 83rd Edition, Ohio : CRC Press(2003).

[Lin06] Link A., Sooryakumar R., Bandhu R., et Antonelli G., «Brillouin lightscattering studies of the mechanical properties of ultra thin low-k dielectric films»,Journal of Applied Physics, volume 100(1), p. 13507 (2006).

[Liu02] Liu P.T., Chang T., Hsu K., Tseng T., Chen L., Wang C., et Sze S.,«Characterization of porous silicate for ultra-low-k dielectric application», ThinSolid Films, volume 414, p. 1–6 (2002).

[Ma97] Ma Q., «A four-point bending technique for studying subcritical crack growth inthin films and at interfaces», Journal of Materials Research, volume 12, p. 840–845(1997).

[Mae03] Maex K., Baklanov M., Shamiryan D., Iacopi F., Brongersma S., et Ya-novitskaya Z., «Low dielectric constant materials for microelectronics», Journalof Applied Physics, volume 93(11), p. 8793–8841 (2003).

[Mai01] Maier G., «Low dielectric constant polymers for microelectronics», Process inPolymer Science, volume 26, p. 3–65 (2001).

176

Page 189: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Mar97] Marcadal C., Etude du dépôt de cuivre par MOCVD pour les interconnexionsdes circuits à très haute densité d’intégration, Thèse de doctorat, Institut NationalPolytechnique de Grenoble (1997).

[Mat05a] Matsuda K., Miyoshi R., Seki H., Takeda M., Inoue K., Takahashi K.,Ajioka T., Sugie R., Matsunobe T., Hashimoto H., et Yoshikawa M.,«Characterization of structural changes of SiOC films by heat treatment», Procee-dings of Advanced Metallization Conference, Colorado Springs, Colorado, U.S.A.,p. 285–290 (September 27-29, 2005).

[Mat05b] Matz L.M., Tsui T., Engbrecht E.R., Taylor K., Haase G., Ajmera S.,Kuan R., Griffin A., Kraft R., et McKerrow A.J., «Structural characteriza-tion of silicon carbide dielectric barrier materials», Proceedings of Advanced Metal-lization Conference, Colorado Springs, Colorado, U.S.A., p. 437–443 (September27-29, 2005).

[Men97] Mencik J., Munz D., Quandt E., Weppelmann E., et Swain M., «De-termination of elastic modulus of thin layers using nanoindentation», Journal ofMaterials Research, volume 12(9), p. 2475–2484 (1997).

[Miy03] Miyoshi H., Matsuo H., Oku Y., Tanaka H., Yamada K., Mikami N., Ta-kada S., Hata N., et Kikkawa T., «Theoretical analysis of ultra low-k porousfilms with periodic pore arrangement and high elastic modulus», Proceedings of In-ternational Interconnect Technology Conference, San Francisco, California, U.S.A.,p. 57–59 (June 2-4 2003).

[Mog02] Mogilnikov K., et Baklanov M., «Determination of Young’s modulus of porouslow-k films by ellipsometric porosimetry», Electrochemical and Solid-State Letters,volume 5(12), p. 29–31 (2002).

[Moo65] Moore G., «Cramming more components into integrated circuits», Electronics,volume 38(8) (1965).

[Moo03] Moon P., Dubin V., Johnston S., Leu J., Raol K., et Wu C., «Processroadmap and challenges for metal barriers», Proceedings of International ElectronDevices Meeting, Washington, DC, U.S.A., p. 841–844 (December 8-10, 2003).

[Mor00] Morgen M., Ryan T.E., Zhao J.H., Hu C., Cho T., et Ho P.S., «Lowdielectric constant materials for ULSI interconnects», Annual Review of MaterialsScience, volume 30, p. 645–680 (2000).

[Nix89] Nix W.D., «Mechanical properties of thin films», Metallurgical Transactions A,volume 20A, p. 2217–2245 (1989).

[Nix97] Nix W.D., «Elastic and plastic properties of thin films on substrates : nanoin-dentation techniques», Materials Science and Engineering A, volume A234-236, p.37–44 (1997).

[Oku03] Oku Y., Yamada K., Goto T., Seino Y., Ishikawa A., Ogata T., Koh-mura K., Fujii N., Hata N., Ichikawa R., Yoshino T., Negoro C., NakanoA., Sonoda Y., Takada S., Miyoshi H., Oike S., Tanaka H., Matsuo H.,Kinoshita K., et Kikkawa T., «Novel self-assembled ultra low-k porous silicafilms with high mechanical strength for 45 nm BEOL technology», Proceedings

177

Page 190: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

of International Electron Devices Meeting, Washington, DC, U.S.A., p. 139–142(December 8-10, 2003).

[Oli92] Oliver W., et Pharr G., «An improved technique for determining hardness andelastic modulus using load and displacement sensing indentation experiments»,Journal of Materials Research, volume 7(6), p. 1564–1583 (1992).

[Ons36] Onsager L., «Electric moments of molecules in liquids», Journal of AmericanChemical Society, volume 58, p. 1486–1493 (1936).

[Orl03] Orlowska S., Conception et prediction des caractéristiques diélectriques des ma-tériaux composites à deux et trois phases par la modélisation et la validation expé-rimentale, Thèse de doctorat, Ecole centrale de Lyon (2003).

[Pha03] Phalippou J., et Kocon L., «Aérogels : aspects fondamentaux», Techniques del’Ingénieur, volume AF3 609, p. 12–18 (2003).

[Phi86] Philibert J., Diffusion et transport de matière dans les solides, Les Ulis : LesEditions de Physiques (1986), 472 p.

[Pos06] Possémé N., Maurice C., Brun P., Ollier E., Guillermet M., Vérove C.,Berger T., Fox R., et Hinsinger O., «New etch challenges for the 65 nm techno-logy node low-k integration using an enhanced trench first hard mask architecture»,Proceedins of International Interconnect Technology Conference, San Francisco,California, U.S.A., p. 36–38 (June 5-7 2006).

[Pra02] Prasad K., Yuan X., Li C., et Kumar R., «Evaluation of diffusion barrier layersin Cu interconnects», Proceedings of Conference on Optoelectronic and Microelec-tronic Materials and Devices, Sydney, Australia, p. 373–376 (December 11-13,2002).

[Rau94] Rau C., et Kulisch W., «Mechanisms of plasma polymerization of various silico-organic polymers», Thin Solid Films, volume 249, p. 28–37 (1994).

[Rem03] Remiat B., Couches minces diélectriques à faible et très faible permittivité des-tinées aux interconnexions des circuits intégrés : élaboration - caractérisation -intégration, Thèse de doctorat, Université Montpellier II (2003).

[Sah02] Saha R., et Nix W.W., «Effects of the substrate on the determination of thethin film mechanical properties by nanoindentation», Acta Materialia, volume 50,p. 23–38 (2002).

[Sch04] Schulberg M.T., Humayun R., Sengupta A., et Sun J.N., «Deposition andintegration of a novel ultra-low-k (2.2) material», Proceedings of Material ResearchSociety Symposium, San Francisco, California, U.S.A., volume 812, p. 79–84 (April13-15, 2004).

[Sch05a] Schneider D., Frühauf S., Schulz S., et Gessner T., «The current limits ofthe laser-acoustic test method to characterize low-k films», Microelectronic Engi-neering, volume 82, p. 393–398 (2005).

[Sch05b] Schulze K., Schuldt U., Kahle O., Shulz S., Uhlig M., Uhlig C., DreyerC., Bauer M., et Gessner T., «Novel low-k polycyanurates for integrated circuit(IC) metallization», Microelectronic Engineering, volume 82, p. 356–361 (2005).

178

Page 191: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[She04] Shen L., et Zeng K., «Comparison of mechanical properties of porous and non-porous low-k dielectric films», Microelectronic Engineering, volume 71, p. 221–228(2004).

[Shi04] Shioya Y., Ishimaru T., Ikakura H., Nishimoto Y., Ohdaira T., SuzukiR., et Maeda K., «Copepr barrier properties of low dielectric constant SiOCNHfilm deposited by plasma-enhanced CVD», Journal of the Electrochemical Society,volume 151(1), p. 56–61 (2004).

[Shi05] Shinriki M., Tajima N., Hamada T., Ohno T., Kobayashi N., HasakaS., et Inoue M., «Mechanical property improvement for PECVD SiOCH filmby hydrocarbon substitution effect using molecular modelling», Proceedings of Ad-vanced Metallization Conference, Colorado Springs, Colorado, U.S.A., p. 303–308(September 27-29, 2005).

[Sik01] Sikder A., Irfan I., Kumar A., et Anthony J., «Nano-indentation studiesof xerogel and SiLK low-k dielectric materials», Journal of Electronic Materials,volume 30(12), p. 1527–1531 (2001).

[Sim05] Simon G., Mesure de la porosité des matériaux ULK entrant dans la fabrica-tion des circuits intégrés post 65 nm par ellipsométrie porosimétrique, Thèse dedoctorat, Université Conservatoire National des Arts et Métiers Grenoble (2005).

[Sug05] Sugiura I., Misawa N., Otsuka S., Nishikawa N., Iba Y., Sugimoto F.,Setta Y., Sakai H., Koura Y., Nakano K., Karasawa T., Ohkura Y.,Kouno T., Watatani H., Nakata Y., Mizushima Y., Suzuki T., Kitada H.,Shimizu N., Nakai S., Nakaishi M., Fukuyama S., Nakamura T., Yano E.,Miyajima M., et Watanabe K., «High manufacturability Cu multilevel inter-connects featuring hybrid-NCS struture», Microelectronic Engineering, volume 82,p. 380–386 (2005).

[Tre98] Treichel H., Ruhl G., Ansmann P., Wurl R., Muller C., et DietlmeierM., «Low dielectric constant materials for interlayer dielectric», MicroelectronicEngineering, volume 40(1), p. 1–19 (1998).

[Vas05] Vasilopoulou M., Douvas A., Kouvatsos D., Argitis P., et DavazoglouD., «Characterization of various insulators for possible use as low-k dielectricsdeposited at temperatures below 200 C», Microelectronic Reliability, volume 45, p.990–993 (2005).

[Wan05] Wang L., Ganor M., Rokhlin S., et Grill A., «Nanoindentation analysis ofmechanical properties of low to ultra low dielectric constant SiCOH films», Journalof Materials Research, volume 20(8), p. 2080–2093 (2005).

[Wis01] Wistrom R., Bomberger G., Cohen S., Hazel S., Lavoie M., GambinoJ., Poley D., et Dokumaci O., «Film properties and integration of a variety ofFSG films», Proceedings of International Interconnect Technology Conference, SanFrancisco, California, U.S.A., p. 168–170 (June 4-6 2001).

[Yam99] Yamashita K., Odanaka S., Egashira K., et Ueda T., «On-chip intercon-nect evaluation on delay time increase by crosstalk», Proceedings of InternationalElectron Devices Meeting, Washington, DC, U.S.A., p. 631–634 (December 5-8,1999).

179

Page 192: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

[Yam03] Yamada K., Fujiyama N., Sameshima J., Kamoto R., et Karen A., «SIMSdepth profile of copper in low-k dielectrics under electron irradiation for chargecompensation», Applied Surface Science, volume 203-204, p. 512–515 (2003).

[Yan98a] Yang H., et Lucovsky G., «Stability of Si-O-F low-k dielectrics : attack by watermolecules as function of near-neighbor Si-F bonding arrangements», Journal ofVacuum Science and Technology A, volume 16(3), p. 1525–1528 (1998).

[Yan98b] Yang H., et Lucovsky G., «Stability of silicon-oxygen-fluorine and carbon-fluorine low-k dielectrics with respect to attack water», Proceedings of MaterialsResearch Society Symposium, San Francisco, California, U.S.A., volume 511, p.371–376 (April 14-16, 1998).

[Yas85] Yasuda H., Plasma Polymerization, Orlando : Academic Press (1985), 432 p.

[Zhu02] Zhu Y., Mimura K., et Isshiki M., «Oxidation mechanism of copper at 273-1073 K», Materials Transactions, volume 43(9), p. 2173–2176 (2002).

180

Page 193: Etude de matériaux diélectriques à très faible permittivité déposés ...

BIBLIOGRAPHIE

Publications de l’auteur

Premier auteurVitiello J., Chapelon L-L., Barbier D. et Torres J., « Dependence of ultra low-k film

(1.8<k-value<2.5) properties and stack characterization on UV-curing treatment efficiency »,Advanced Metallization Conference (AMC) 2006 (San Diego, USA), Proceedings à paraître.

Vitiello J., Ducote V., Farcy A., Gosset L.G., Le-Friec Y., Hopstaken M.,Jullian S., Cordeau M., Ailhas C., Chapelon L-L., Barbier D., Veillerot M., DanelA. et Torres J., « New techniques to characterize properties of advanced dielectric barriersfor sub-65 nm technology node », Materials for Advanced Metallization (MAM) conference2006 (Grenoble, France), à paraître dans Microelectronic Engineering.

Vitiello J., Fuchsmann A., Chapelon L-L., Arnal V., Barbier D. et Torres J.,« Impact of dielectric stack and interface adhesion on mechanical properties of porous ultralow-k », MAM conference 2005 (Dresde, Allemagne), Microelectronic Engineering, volume82, pp.422-426 (2005).

AutresChapelon L-L., Vitiello J., Gonchond J-P., Barbier D. et Torres J., « UV curing

effects on mechanical and electrical performances of a PECVD non porogen porous SiOC :Hfilms (in k [2.2-2.4] range) for 45 nm node and below », MAM conference 2006 (Grenoble,France), à paraître dans Microelectronic Engineering.

Chapelon L-L., Vitiello J., Neira D., Torres J., Royer J-C., Barbier D., NaudinF., Tas G., Mukundhan P. et Clerico J., « Measuring the Young’s modulus of ultra low-k materials with the non destructive picosecond ultrasonic method », MAM conference 2006(Grenoble, France), à paraître dans Microelectronic Engineering.

Chapelon L-L., Vitiello J., Mastromatteo E., Barbier D., Ferrieu F. et TorresJ., « Characterization of a CVD porous SiOCH (in k [2.2-2.5] range) and evaluation ofdifferent post-treatments improving mechanical properties for 45 nm node and below »,AMC 2004 (San Diego, USA), Proc. of AMC, pp.393-402 (2004).

Chapelon L-L., Brun P., Vitiello J., Barbier D. et Torres J., « Characterizationand integration of a PECVD advanced barrier (k=4.0) for 45 nm CMOS technology andbelow », AMC 2006 (San Diego, USA), Proceedings à paraître.

Arnal V., Gosset L.G., Besling W.F.A., Farcy A., Chapelon L-L., FuchsmannA., Vitiello J., Chhun S., Aimadeddine M., Guedj C., Guillaumont J-F. et TorresJ., « Challenges for interconnect of future CMOS generations : implementation of emergingprocesses and alternative architectures », Electrochemical Society Conference 2005 (Quebec,Canada), Proc. of ECS Conf., pp.221-234 (2005).

Chapelon L-L., Arnal V., Broekaart M., Gosset L.G., Vitiello J. et J. Torres,« Characterization and integration of a CVD porous SiOCH (k<2.5) with enhanced mechani-cal properties for 65 nm CMOS interconnects and below », MAM 2004 (Bruxelles, Belgique),Microelectronic Engineering 76, pp.1-7 (2004).

181

Page 194: Etude de matériaux diélectriques à très faible permittivité déposés ...
Page 195: Etude de matériaux diélectriques à très faible permittivité déposés ...

Annexe A

Plan d’expérience réalisé sur le procédéOrion

Construction du plan d’expérience

L’objectif de ce plan d’expérience est de déterminer l’influence des paramètres du procédésur les propriétés du film pour mieux comprendre le processus de restructuration. Ce travaila été effectué sur le procédé Orion pour le film d’épaisseur 300 nm, en se limitant auxparamètres du traitement thermique assisté par plasma. C’est en effet l’étape au cours delaquelle le processus de formation du film poreux intervient. C’est aussi l’étape qui fixe lespropriétés finales du matériau.

Tableau A.1 – Variations des paramètres du procédé Orion pour le plan d’expérience.

Paramètres Limite basse Valeur centrale Limite haute

A : Durée de l’étape 1 (s) 150 180 210

B : Puissance de l’étape 1 (W) 500 1000 1500

C : Débit H2 (sccm) 1000 1600 2200

D : Pression (mT) 3500 4000 4500

E : Durée de l’étape 2 (s) 40 70 100

F : Puissance de l’étape 2 (W) 2200 2500 2800

Du fait de la complexité de mise en œuvre d’un plan d’expérience à partir de l’ensembledes propriétés du film, la partie mécanique n’a pas pu être abordée. Nous nous concentronssur les caractéristiques matériaux qui sont mesurables sur le film de 300 nm d’épaisseur :l’épaisseur, la contrainte interne et la permittivité relative. La première caractéristique estobtenue par ellipsométrie spectroscopique avec le modèle bicouche à gradient. La contrainteinterne est mesurée à partir de la variation du rayon de courbure du substrat de siliciumavant et après le dépôt du film poreux. Enfin, la permittivité relative est déterminée par la

183

Page 196: Etude de matériaux diélectriques à très faible permittivité déposés ...

ANNEXE A. PLAN D’EXPÉRIENCE RÉALISÉ SUR LE PROCÉDÉ ORION

technique de la goutte de mercure. Ces trois caractéristiques seront les réponses de notreplan d’expérience.

La recette standard pour l’étape de recuit assisté par plasma est donnée dans le ta-bleau 2.7 (page 50). Les paramètres du plan d’expérience sont centrés sur les valeurs de cetableau. Nous avons retenu 6 paramètres pour cette étude qui prennent chacun 3 valeurs auchoix : -1, 0 et +1 (tableau A.1). Pour simplifier la manipulation du plan, chaque paramètreest nommé par une lettre (première colonne du tableau A.1).

Le plan d’expérience choisit est un plan factoriel fractionnaire 26−2 ou dit de criblage(résolution 4) avec 6 variables d’entrée et 19 expériences (les 16 expériences du plan frac-tionnaire et 3 points au centre du domaine pour estimer la significativité des effets). Ce planva permettre d’identifier les paramètres les plus influents sur le procédé sans confusion avecles intéractions entre les facteurs. Pour le réaliser, le logiciel Statgraphics est utilisé. À partirde la matrice d’expérience et des résultats de mesure, il permet de construire un modèle tra-duisant l’influence de chaque variable d’entrée (paramètre du procédé) sur chaque réponseétudiée (caractéristique du matériau) à partir des 19 expériences du plan. Il est importantde noter que les résultats présentés ne sont valables que dans le domaine d’expérimentationdéfini dans le tableau A.1.

Résultats du plan

La figure A.1 présente les paramètres du traitement thermique assisté par plasma les plusinfluents sur les variations de l’épaisseur. Ce graphique est appelé Pareto : il représente l’effetnégatif (diminution) ou positif (augmentation) sur la réponse étudiée lorsque le paramètredu procédé augmente. La droite verticale indique la limite de significativité : les effets à

0 2 4 6 8 10 12 14

Augmente épaisseur Diminue épaisseur

Effet normalisé

DFF

BFC

BEBDADABEB

Figure A.1 – Influence des paramètres du procédé sur l’épaisseur du film.

184

Page 197: Etude de matériaux diélectriques à très faible permittivité déposés ...

prendre en compte sont au delà de cette limite.Les paramètres les plus influents sont donc :– la puissance de la première étape (B) : une valeur plus forte permet d’augmenter l’épais-

seur du film. Cette hausse indique que la formation de la zone poreuse est plus marquée.La puissance du plasma de cette première étape favorise donc la restructuration ;

– la durée de la deuxième étape (E) : elle joue le rôle inverse. Un traitement long entraîneun écrasement du film. Cette deuxième étape, à plus forte puissance, ne participe doncpas à la restructuration mais densifie le film. L’effet de ce paramètre est cependantnettement moins influent que le premier paramètre ;

– couplage durée avec puissance de l’étape 1 (AB) : si la puissance de l’étape 1 joue unrôle majeur, le temps de cette étape n’intervient qu’en couplage avec cette puissance.Nous sommes à la limite de significativité, donc un paramètre à l’influence discutableavec ces seuls résultats.

La figure A.2 montre l’influence des paramètres du procédé sur les variations de contrainteinterne. Les effets significatifs sont :

– puissance de l’étape 1 (B) : une contrainte plus faible s’obtient en augmentant la puis-sance du plasma de cette étape. Favoriser la structure poreuse permet donc d’atteindreune contrainte interne plus faible ;

– durée de l’étape 2 (E) : même effet que pour la puissance de l’étape 1 mais de manièremoins influente. Cette deuxième étape densifie le film mais favorise aussi sa stabilisationavec une contrainte interne plus faible.

– débit d’hydrogène : l’augmentation de ce débit implique une hausse de la contraintecertainement à cause d’un nombre d’espèces réactives plus grand qui favorisent ladensification lors de l’étape de restructuration.

0 2 4 6 8 10 12

Augmente contrainte Diminue contrainte

Effets normalisés

CE

BE

CF

F

DE

C

E

B

Figure A.2 – Variations de la contrainte interne en fonction des paramètres du procédé.

185

Page 198: Etude de matériaux diélectriques à très faible permittivité déposés ...

ANNEXE A. PLAN D’EXPÉRIENCE RÉALISÉ SUR LE PROCÉDÉ ORION

L’effet des paramètres du procédé sur la mesure de la permittivité relative (figure A.3)est la suivante :

– puissances (B et F) : augmenter les puissances permet de réduire la permittivité re-lative. Cela signifie que le traitement plasma est nécessaire pour aider la températureà former la structure poreuse lors de la première étape. De plus, l’étape 2 participe àune stabilisation du film.

– pression (D) : l’augmentation de la pression implique une augmentation de la permit-tivité relative. Ce phénomène provient du fait qu’une pression plus importante conduità la formation d’un plus grand nombre d’espèces réactives et donc une densificationplus importante du film lors de la première étape de restructuration ;

– débit d’hydrogène : comme la pression, l’augmentation du débit de gaz implique unedégradation de la permittivité relative à cause d’une densification plus importante aucours l’étape 1.

0 5 10 15 20 25

AFBDA

ADBFBCABCDF

Effet normalisé

Augmente r

Diminue r

B

Figure A.3 – Estimation des effets des paramètres du procédé sur les variations de lapermittivité relative.

Enfin, un dernier paramètre a été ajouté durant les essais : une partie des dépôts sesont décollés du substrat lors du traitement plasma. La figure A.4 indique les paramètres lesplus influents sur ce phénomène. Pour quantifier ce paramètre, une variable discrète a étéutilisée : 0 pour une couche qui adhère et 1 pour une couche qui se décolle. Le couplage BDs’explique par les résultats des paramètres B et D séparément qui, avec une réponse de typebinaire, apparaît comme prédominant. Dans notre cas, le décollement apparaît si la pressionest diminuée ou la puissance de plasma de l’étape 1 est augmentée.

186

Page 199: Etude de matériaux diélectriques à très faible permittivité déposés ...

0,0 0,5 1,0 1,5 2,0 2,5 3,0 3,5 4,0

Décol. si param. augmenté Décol. si param. diminué

Effets normalisés

A

C

E

F

B

D

BD

Figure A.4 – Paramètres responsables du décollement de la couche.

Pour conclure, les résultats de ce plan d’expérience indiquent que la puissance de l’étape 1est le paramètre le plus influent du procédé de recuit assisté par plasma sur les propriétés dufilm. Il semble diriger l’étape de restructuration alors que l’étape 2 permet la stabilisationde la structure. Il apparaît aussi que cette première étape joue un rôle important sur laformation de la couche dense. Les deux processus, pourtant antagonistes, apparaissent commeindissociables pour permettre de former le film poreux stable. Le phénomène de décollementqui est apparu lors des expériences montrent que la fenêtre de variation des paramètres duprocédé est relativement faible.

187