Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf ·...

863
Cours d’´ electronique num´ erique Cours d’´ electronique num´ erique Aur´ elie Gensbittel Enseignante-Chercheuse Bertrand Granado Enseignant-Chercheur Universit´ e Pierre et Marie Curie Mel : [email protected] Mel : [email protected] Hiver 2007

Transcript of Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf ·...

Page 1: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Cours d’electronique numerique

Aurelie GensbittelEnseignante-Chercheuse

Bertrand GranadoEnseignant-Chercheur

Universite Pierre et Marie Curie

Mel : [email protected]

Mel : [email protected]

Hiver 2007

Page 2: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Page 3: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Page 4: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Page 5: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Page 6: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Page 7: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Fonctions Sequentielles Simples

Page 8: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Fonctions Sequentielles Simples

Fonctions Sequentielles Complexes

Page 9: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Fonctions Sequentielles Simples

Fonctions Sequentielles Complexes

Pipeline

Page 10: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Fonctions Sequentielles Simples

Fonctions Sequentielles Complexes

Pipeline

Technologie des circuits numeriques

Page 11: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Plan du Cours

Introduction

Algebre de Boole et Codage

Introduction au vhdl

Fonctions Combinatoire Simples

Fonctions Combinatoires Complexes

Fonctions Sequentielles Simples

Fonctions Sequentielles Complexes

Pipeline

Technologie des circuits numeriques

Les Convertisseurs Analogiques-Numeriques etNumeriques-Analogiques

Page 12: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Les enseignants de l’UE201 ...

Cours A. GensbittelTD P. Ravary - H. KokabiTP P. Ravary - H. Kokabi

Page 13: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances ...

Le Controle Continu : Type QCM, Questions de Cours

Page 14: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances ...

Le Controle Continu : Type QCM, Questions de Cours

L’examen Final : Reflexion (Les TD ne servent pas a Bachoter!)

Page 15: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances ...

Le Controle Continu : Type QCM, Questions de Cours

L’examen Final : Reflexion (Les TD ne servent pas a Bachoter!)

Le Mini-Projet

Page 16: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances: Le Mini-Projet

Rapport Final a la fin de toutes les sceances de TP

Page 17: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances: Le Mini-Projet

Rapport Final a la fin de toutes les sceances de TP

Evaluation durant le TP

Page 18: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances: Le Mini-Projet

Rapport Final a la fin de toutes les sceances de TP

Evaluation durant le TP

Soutenance de Mini-Projet

Page 19: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Evaluation des connaissances : Coefficients

Note de l’ecrit E : E = sup((0, 2CC + 0, 8Ex),Ex) ou CC estla note du controle continu et Ex la note de l’examen final

Note Finale F : F = 0, 65 ∗ E + 0, 25 ∗ TP + 0, 1 ∗ CC ou TPest la note des travaux pratiques.

Page 20: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Polycopie de TD

Disponible a la reprographie la semaine

2ieme etage - batiment Esclangon

Horaires : 12 h 45 - 14 h 15 - Mardi - Mercredi - Vendredi

A avoir avant le TD !

Page 21: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... Le boulier chinois

Page 22: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... La machine de Babbage

Page 23: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... Une Lampe Triode

Page 24: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... Le transistor de 1947

Page 25: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... Le 4004 d’Intel

Page 26: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Un peu d’histoire ... Le 4004 d’Intel

Page 27: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Page 28: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Informatique :Micro-ProcesseurProcesseur GraphiqueProcesseur AudioInternetJeux BureautiqueCalcul Scientifique...

Page 29: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Automobile :Plusieurs MicroProcesseursSécurité Active et PassiveRendement du MoteurConfort MultiMédia...

Page 30: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Télécommunications :MicroControleur Basse ConsommationSonTransmission d’imageInternetUMTS => Transmission VidéoRadio Logicielle...

Page 31: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Page 32: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Page 33: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Page 34: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Alors pourquoi l’electronique numerique ?

Page 35: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Alors pourquoi l’electronique numerique ?

Discretisation permet de se premunir du bruit

Page 36: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Alors pourquoi l’electronique numerique ?

Discretisation permet de se premunir du bruit

Il est possible de quantifier les erreurs

Page 37: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction

Aujourd’hui : Un Monde Numerique ?

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Alors pourquoi l’electronique numerique ?

Discretisation permet de se premunir du bruit

Il est possible de quantifier les erreurs

Possibilite de mise en oeuvre d’une arithmetique basee sur lalogique

Page 38: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Plan du Cours

Introduction

Algebre de Boole et Logique Combinatoire

Page 39: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

References

Architecture des Ordinateurs - Philippe Darche - Vuibert,Collection Passeport pour l’informatique - 77.8 DAR - MIE

Page 40: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

References

Architecture des Ordinateurs - Philippe Darche - Vuibert,Collection Passeport pour l’informatique - 77.8 DAR - MIE

Circuits Numeriques - Ronald J. Tocci - Dunod - PE

Page 41: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole

Algebre de Boole

Page 42: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Mister G. Boole

Mathematicien Anglais du 19i eme siecle.

1815 − 1864

Page 43: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

La genese

Georges Boole introduit un formalise mathematique de lalogiqueThe Calculus of LogicCambridge and Dublin Mathematical JournalVol. III (1848), pp. 183–9

Page 44: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

La genese

Georges Boole introduit un formalise mathematique de lalogiqueThe Calculus of LogicCambridge and Dublin Mathematical JournalVol. III (1848), pp. 183–9

(3) That those laws are capable of mathematical expression,and that they thus constitute the basis of an interpretablecalculus.

Page 45: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

La genese

Georges Boole introduit un formalise mathematique de lalogiqueThe Calculus of LogicCambridge and Dublin Mathematical JournalVol. III (1848), pp. 183–9

(3) That those laws are capable of mathematical expression,and that they thus constitute the basis of an interpretablecalculus.

Au depart beaucoup utilise dans les jeux de salons

Page 46: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

La genese

Georges Boole introduit un formalise mathematique de lalogiqueThe Calculus of LogicCambridge and Dublin Mathematical JournalVol. III (1848), pp. 183–9

(3) That those laws are capable of mathematical expression,and that they thus constitute the basis of an interpretablecalculus.

Au depart beaucoup utilise dans les jeux de salons

Mais a l’arrivee : Veritable revolution qui est devenue lefondement de l’electronique numerique

Page 47: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Les bases - 1

L’algebre de Boole manipule des variables qui ne peuventprendre que deux etats : Vrai ou Faux

Page 48: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Les bases - 1

L’algebre de Boole manipule des variables qui ne peuventprendre que deux etats : Vrai ou Faux

Une telle variable est appelee variable Booleenne

Page 49: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Les bases - 1

L’algebre de Boole manipule des variables qui ne peuventprendre que deux etats : Vrai ou Faux

Une telle variable est appelee variable Booleenne

Il est possible aussi d’associer le chiffre 1 a la valeur Vrai et lechiffre 0 a la valeur Faux

Page 50: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Les bases - 1

L’algebre de Boole manipule des variables qui ne peuventprendre que deux etats : Vrai ou Faux

Une telle variable est appelee variable Booleenne

Il est possible aussi d’associer le chiffre 1 a la valeur Vrai et lechiffre 0 a la valeur Faux

Les variables Booleennes dans ce cas sont des variablesBinaires

Page 51: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Les bases

exemples

Page 52: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Definitions

Algebre de Boole B

Page 53: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Definitions

Algebre de Boole B

Page 54: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Definitions

Algebre de Boole B

B =< E , +, .,− , 0, 1 >

Page 55: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Definitions

Algebre de Boole B

B =< E , +, .,− , 0, 1 >

+, . sont des lois de composition interne

Page 56: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Definitions

Algebre de Boole B

B =< E , +, .,− , 0, 1 >

+, . sont des lois de composition interne− est la loi de complementation

Page 57: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Lois de Composition

loi de composition .

Page 58: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Lois de Composition

loi de composition .

. 0 1

0 0 0

1 0 1

Page 59: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Lois de Composition

loi de composition .

. 0 1

0 0 0

1 0 1

loi de composition +

Page 60: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Lois de Composition

loi de composition .

. 0 1

0 0 0

1 0 1

loi de composition +

+ 0 1

0 0 1

1 1 1

Page 61: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Loi de complementation

Le complement a d’une variable a est defini par :

Page 62: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Loi de complementation

Le complement a d’une variable a est defini par :

si a = 1 → a = 0

Page 63: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Loi de complementation

Le complement a d’une variable a est defini par :

si a = 1 → a = 0si a = 0 → a = 1

Page 64: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Loi de complementation

Le complement a d’une variable a est defini par :

si a = 1 → a = 0si a = 0 → a = 1

La variable a, lorsqu’elle est notee a, est dite sous sa formenormale

Page 65: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Algebre de Boole - Loi de complementation

Le complement a d’une variable a est defini par :

si a = 1 → a = 0si a = 0 → a = 1

La variable a, lorsqu’elle est notee a, est dite sous sa formenormale

La variable a, lorsqu’elle est notee a, est dite sous sa formecomplementee

Page 66: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

Page 67: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

Page 68: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + a

Page 69: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + aa.b = b.a

Page 70: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + aa.b = b.a

Distributivite

Page 71: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + aa.b = b.a

Distributivite

∀(a, b, c) ∈ E 3

Page 72: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + aa.b = b.a

Distributivite

∀(a, b, c) ∈ E 3

a + (b.c) = (a + b).(a + c)

Page 73: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 1

Commutativite

∀(a, b) ∈ E 2

a + b = b + aa.b = b.a

Distributivite

∀(a, b, c) ∈ E 3

a + (b.c) = (a + b).(a + c)a.(b + c) = (a.b) + (a.c)

Page 74: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

Page 75: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ E

Page 76: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = a

Page 77: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = aa.1 = a

Page 78: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = aa.1 = a

Complementation

Page 79: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = aa.1 = a

Complementation

∀a ∈ E

Page 80: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = aa.1 = a

Complementation

∀a ∈ Ea + a = 1

Page 81: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Axiomes de bases - 2

Elements Neutre

∀a ∈ Ea + 0 = aa.1 = a

Complementation

∀a ∈ Ea + a = 1a.a = 0

Page 82: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

Page 83: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Page 84: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

Page 85: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ E

Page 86: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1

Page 87: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1a.0 = 0

Page 88: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1a.0 = 0

Loi d’idempotence

Page 89: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1a.0 = 0

Loi d’idempotence

∀a ∈ E

Page 90: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1a.0 = 0

Loi d’idempotence

∀a ∈ Ea + a = a

Page 91: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 1

A partir des axiomes de base des proprietes fondamentalessont deduites.

Elements Absorbants

∀a ∈ Ea + 1 = 1a.0 = 0

Loi d’idempotence

∀a ∈ Ea + a = aa.a = a

Page 92: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

Page 93: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ E

Page 94: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ Ea = a

Page 95: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ Ea = a

Loi d’absorption

Page 96: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ Ea = a

Loi d’absorption

∀(a, b) ∈ E 2

Page 97: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ Ea = a

Loi d’absorption

∀(a, b) ∈ E 2

a + (a.b) = a

Page 98: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 2

Loi d’involution

∀a ∈ Ea = a

Loi d’absorption

∀(a, b) ∈ E 2

a + (a.b) = aa.(a + b) = a

Page 99: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

Page 100: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

Page 101: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + c

Page 102: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + ca.(b.c) = (a.b).c

Page 103: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + ca.(b.c) = (a.b).c

Loi de De Morgan

Page 104: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + ca.(b.c) = (a.b).c

Loi de De Morgan

∀(a, b) ∈ E 2

Page 105: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + ca.(b.c) = (a.b).c

Loi de De Morgan

∀(a, b) ∈ E 2

a + b = a.b

Page 106: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Proprietes - 5

Loi d’associativite

∀(a, b, c) ∈ E 3

a + (b + c) = (a + b) + ca.(b.c) = (a.b).c

Loi de De Morgan

∀(a, b) ∈ E 2

a + b = a.ba.b = a + b

Page 107: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Page 108: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Ordre Total : 0 < 1

Page 109: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Ordre Total : 0 < 1Ordre Lexicographique : 00 < 01 < 10 < 11 Utile pour lestables de verite

Page 110: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Ordre Total : 0 < 1Ordre Lexicographique : 00 < 01 < 10 < 11 Utile pour lestables de verite

Page 111: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Ordre Total : 0 < 1Ordre Lexicographique : 00 < 01 < 10 < 11 Utile pour lestables de verite

Definition d’une fonction logique :

Page 112: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

L’algebre - Ordre et Fonction

Relation d’ordre :

Ordre Total : 0 < 1Ordre Lexicographique : 00 < 01 < 10 < 11 Utile pour lestables de verite

Definition d’une fonction logique :

f (xn−1, xn−2, . . . , x1, x0) : 0, 1n→ 0, 1, n ∈ N∗

Page 113: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a une variable a

1 variable soit 4 fonctions possibles :

Page 114: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a une variable a

1 variable soit 4 fonctions possibles :

f = 0 : fonction constante nulle

Page 115: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a une variable a

1 variable soit 4 fonctions possibles :

f = 0 : fonction constante nullef = 1 : fonction constante a un

Page 116: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a une variable a

1 variable soit 4 fonctions possibles :

f = 0 : fonction constante nullef = 1 : fonction constante a unf = a : fonction identite

Page 117: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a une variable a

1 variable soit 4 fonctions possibles :

f = 0 : fonction constante nullef = 1 : fonction constante a unf = a : fonction identitef = a : fonction complement ou fonction NON

Page 118: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

Page 119: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ET

Page 120: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OU

Page 121: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OUf = a ⊕ b : fonction OU-EXCLUSIF

Page 122: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OUf = a ⊕ b : fonction OU-EXCLUSIFf = a.b : fonction NON-ET

Page 123: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OUf = a ⊕ b : fonction OU-EXCLUSIFf = a.b : fonction NON-ETf = a + b : fonction NON-OU

Page 124: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OUf = a ⊕ b : fonction OU-EXCLUSIFf = a.b : fonction NON-ETf = a + b : fonction NON-OUf = a ⊕ b : fonction NON-OU-EXCLUSIF

Page 125: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a deux variables a et b

2 variables soit 16 fonctions possibles

f = a.b : fonction ETf = a + b : fonction OUf = a ⊕ b : fonction OU-EXCLUSIFf = a.b : fonction NON-ETf = a + b : fonction NON-OUf = a ⊕ b : fonction NON-OU-EXCLUSIFetc...

Page 126: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a n variables

n variables soit 22nfonctions possibles

Page 127: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a n variables

n variables soit 22nfonctions possibles

3 variables → 256 fonctions possibles

Page 128: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a n variables

n variables soit 22nfonctions possibles

3 variables → 256 fonctions possibles4 variables → 65536 fonctions possibles

Page 129: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Fonctions Logiques a n variables

n variables soit 22nfonctions possibles

3 variables → 256 fonctions possibles4 variables → 65536 fonctions possiblesetc ...

Page 130: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

La Table de Verite

Page 131: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

La Table de Verite

Representation sous forme de tableau des valeurs de lafonction logique pour toutes les combinaisons de ses variables

Page 132: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

La Table de Verite

Representation sous forme de tableau des valeurs de lafonction logique pour toutes les combinaisons de ses variables

a b f

0 0 f00 1 f11 0 f21 1 f3

Page 133: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Page 134: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

Page 135: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

b 0 1a

0 f0 f11 f2 f3

Page 136: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

b 0 1a c

0 0 f0 f1

Page 137: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

b 0 1a c

0 0 f0 f10 1 f2 f3

Page 138: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

b 0 1a c

0 0 f0 f10 1 f2 f31 1 f6 f7

Page 139: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Le Tableau de Karnaugh

Representation sous forme de matrice des valeurs de lafonction logique pour toutes les combinaisons de ses variablesen exploitant la propriete d’adjacence

b 0 1a c

0 0 f0 f10 1 f2 f31 1 f6 f71 0 f4 f5

Page 140: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Diagramme de Veitch

Page 141: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Diagramme de Veitch

Diagramme de Venn

Page 142: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Diagramme de Veitch

Diagramme de Venn

Arbre de decision binaire

Page 143: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Diagramme de Veitch

Diagramme de Venn

Arbre de decision binaire

Logigramme Partie technologie

Page 144: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Representation des fonctions logiques

Diagramme de Veitch

Diagramme de Venn

Arbre de decision binaire

Logigramme Partie technologie

Representation algebrique Ecriture logique

Page 145: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique

La representation sous forme de tableau ou de matrice estlimitee ∼ 5 variables.

Page 146: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique

La representation sous forme de tableau ou de matrice estlimitee ∼ 5 variables.

Necessite d’utiliser une ecriture algebrique

Page 147: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique

La representation sous forme de tableau ou de matrice estlimitee ∼ 5 variables.

Necessite d’utiliser une ecriture algebrique

La fonction logique s’exprime alors sous la forme de variablesbooleennes reliees entre elles par des operateurs de l’algebrede Boole

Page 148: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique

La representation sous forme de tableau ou de matrice estlimitee ∼ 5 variables.

Necessite d’utiliser une ecriture algebrique

La fonction logique s’exprime alors sous la forme de variablesbooleennes reliees entre elles par des operateurs de l’algebrede Boole

f (a) = a Fonction NON

Page 149: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique

La representation sous forme de tableau ou de matrice estlimitee ∼ 5 variables.

Necessite d’utiliser une ecriture algebrique

La fonction logique s’exprime alors sous la forme de variablesbooleennes reliees entre elles par des operateurs de l’algebrede Boole

f (a) = a Fonction NON

f (a, b, c) = cb + ab

Page 150: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

Un produit booleen de variables booleennes est appele p-terme

Page 151: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

Un produit booleen de variables booleennes est appele p-terme

Une somme booleenne de variables booleennes est appelees-terme

Page 152: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

Un produit booleen de variables booleennes est appele p-terme

Une somme booleenne de variables booleennes est appelees-terme

Un Minterme est un p-terme de degre n

mj =n−1∏

i=0

ai , ai ∈ (ai , ai )

Page 153: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

Un produit booleen de variables booleennes est appele p-terme

Une somme booleenne de variables booleennes est appelees-terme

Un Minterme est un p-terme de degre n

mj =n−1∏

i=0

ai , ai ∈ (ai , ai )

Un Maxterme est un s-terme de degre n

Mj =

n−1∑

i=0

ai , ai ∈ (ai , ai)

Page 154: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

La somme logique de tous les Mintermes est egale a 1 si lafonction realisee est differente de la fonction constante 0

p−1∑

j=0

mj = 1

Page 155: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

La somme logique de tous les Mintermes est egale a 1 si lafonction realisee est differente de la fonction constante 0

p−1∑

j=0

mj = 1

Le produit logique de tous les Maxtermes est egal a 0 si lafonction realisee est differente de la fonction constante 1

p−1∏

j=0

Mj = 0

Page 156: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

La somme logique de tous les Mintermes est egale a 1 si lafonction realisee est differente de la fonction constante 0

p−1∑

j=0

mj = 1

Le produit logique de tous les Maxtermes est egal a 0 si lafonction realisee est differente de la fonction constante 1

p−1∏

j=0

Mj = 0

Relation entre Minterme et Maxterme

mj = Mj

Page 157: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Minterme et Maxterme

Exemples

Page 158: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Ecriture algebrique d’une fonction logique n’utilisant que desMintermes ou des Maxtermes.

Page 159: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Ecriture algebrique d’une fonction logique n’utilisant que desMintermes ou des Maxtermes.

Il existe deux possibilites d’ecriture :

Page 160: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Ecriture algebrique d’une fonction logique n’utilisant que desMintermes ou des Maxtermes.

Il existe deux possibilites d’ecriture :Forme Canonique Disjonctive ou premiere forme canonique :

Elle s’exprime sous forme d’une somme de Mintermes

Page 161: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Ecriture algebrique d’une fonction logique n’utilisant que desMintermes ou des Maxtermes.

Il existe deux possibilites d’ecriture :Forme Canonique Disjonctive ou premiere forme canonique :

Elle s’exprime sous forme d’une somme de MintermesForme Canonique Conjonctive ou seconde forme canonique :

Elle s’exprime sous forme d’un produit de Maxtermes

Page 162: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Fonction Ou-exclusif ⊕ : la valeur de la fonction est un si uneet une seule des deux variables a la valeur un.

a b f

0 0 00 1 11 0 11 1 0

Page 163: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Fonction Ou-exclusif ⊕ : la valeur de la fonction est un si uneet une seule des deux variables a la valeur un.

a b f

0 0 00 1 11 0 11 1 0

Forme Canonique Disjonctive :f (a, b) = ab + ba

Page 164: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Fonction Ou-exclusif ⊕ : la valeur de la fonction est un si uneet une seule des deux variables a la valeur un.

a b f

0 0 00 1 11 0 11 1 0

Forme Canonique Disjonctive :f (a, b) = ab + ba → Somme des Mintermes tel que f(a,b)=1, lu

directement de la table

Page 165: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Fonction Ou-exclusif ⊕ : la valeur de la fonction est un si uneet une seule des deux variables a la valeur un.

a b f

0 0 00 1 11 0 11 1 0

Forme Canonique Disjonctive :f (a, b) = ab + ba → Somme des Mintermes tel que f(a,b)=1, lu

directement de la table

Forme Canonique Conjonctive :f (a, b) = (a + b).(a + b)

Page 166: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Fonction Ou-exclusif ⊕ : la valeur de la fonction est un si uneet une seule des deux variables a la valeur un.

a b f

0 0 00 1 11 0 11 1 0

Forme Canonique Disjonctive :f (a, b) = ab + ba → Somme des Mintermes tel que f(a,b)=1, lu

directement de la table

Forme Canonique Conjonctive :f (a, b) = (a + b).(a + b) → Produit des Maxtermes tel que

f(a,b)=1, cherche les mintermes pour lesquels f(a,b)=0 et on determine

les valeurs de a et de b liees a ce minterme qui nie f(a,b)=0

Page 167: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Ecriture Algebrique - Forme Canonique

Exemples

Page 168: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Domaine de definition des fonctions

Un fonction logique peut-etre soit completement soitincompletement definie

Page 169: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Domaine de definition des fonctions

Un fonction logique peut-etre soit completement soitincompletement definie

Une fonction est completement definie lorsque pour toutes lescombinaisons de ses variables la valeur de la fonction estdefinie

Page 170: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Domaine de definition des fonctions

Un fonction logique peut-etre soit completement soitincompletement definie

Une fonction est completement definie lorsque pour toutes lescombinaisons de ses variables la valeur de la fonction estdefinie

Une fonction est completement definie lorsque pour toutes lescombinaisons de ses variables la valeur de la fonction estdefinie

a b f

0 0 00 1 01 0 01 1 1

Page 171: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Domaine de definition des fonctions

Une fonction est incompletement definie lorsque pour toutesles combinaisons de ses variables la valeur de la fonction n’estpas definie

Page 172: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Domaine de definition des fonctions

Une fonction est incompletement definie lorsque pour toutesles combinaisons de ses variables la valeur de la fonction n’estpas definie

Une fonction est incompletement definie lorsque pour toutesles combinaisons de ses variables la valeur de la fonction n’estpas definie

a b f

0 0 10 1 X1 0 X1 1 1

Page 173: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Utilisation des axiomes de base et des Proprietes qui endecoulent

Page 174: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Utilisation des axiomes de base et des Proprietes qui endecoulent

f (a, b, c) = ab + bc + c en utilisant la loi d’absorptionbc + c = c on obtient f (a, b, c) = ab + c

Page 175: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Utilisation des axiomes de base et des Proprietes qui endecoulent

f (a, b, c) = ab + bc + c en utilisant la loi d’absorptionbc + c = c on obtient f (a, b, c) = ab + c

f (a, b) = a.(a + b) en utilisant l’axiome de lacomplementation a.a = 0 on obtient f (a, b) = ab.

Page 176: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Utilisation des axiomes de base et des Proprietes qui endecoulent

f (a, b, c) = ab + bc + c en utilisant la loi d’absorptionbc + c = c on obtient f (a, b, c) = ab + c

f (a, b) = a.(a + b) en utilisant l’axiome de lacomplementation a.a = 0 on obtient f (a, b) = ab.

f (a, b, c) = (a + bc)ab = aab + abbc = ab + abc = ab enutilisant successivement la loi d’idempotence et la loid’absorption.

Page 177: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Exemples

Page 178: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Une methode graphique : Les Tableaux de Karnaugh

Page 179: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Une methode graphique : Les Tableaux de Karnaugh

Les variables sont presentees de facon a faire apparaıtre la loid’absorption

Page 180: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Une methode graphique : Les Tableaux de Karnaugh

Les variables sont presentees de facon a faire apparaıtre la loid’absorption

a.b + a.b = a

Page 181: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Une methode graphique : Les Tableaux de Karnaugh

Les variables sont presentees de facon a faire apparaıtre la loid’absorption

a.b + a.b = a

Pour ce faire le code binaire reflechi ou code de Gray est utilise

Page 182: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : etapes

Page 183: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : etapes

Regroupement d’ensembles de 2i cases de meme valeur (engeneral de valeur 1) en maximisant i a chaque fois. Possibilitede regrouper les cases extremes

Page 184: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : etapes

Regroupement d’ensembles de 2i cases de meme valeur (engeneral de valeur 1) en maximisant i a chaque fois. Possibilitede regrouper les cases extremes

Regrouper les cases de meme valeur restantes avec des casesd’ensembles deja etablis pour avoir 2j cases en maximisant j

Page 185: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : etapes

Regroupement d’ensembles de 2i cases de meme valeur (engeneral de valeur 1) en maximisant i a chaque fois. Possibilitede regrouper les cases extremes

Regrouper les cases de meme valeur restantes avec des casesd’ensembles deja etablis pour avoir 2j cases en maximisant j

Ecrire l’equation booleenne algebrique.

Page 186: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Exemples

Page 187: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : remarques

Page 188: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : remarques

Dans le cas de fonctions incompletement definies, considererX comme un 1 afin de maximiser les ensembles

Page 189: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Les Tableaux de Karnaugh : remarques

Dans le cas de fonctions incompletement definies, considererX comme un 1 afin de maximiser les ensembles

Methode limitee a ∼ 5 variables.

Page 190: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Algebre de Boole

Minimisation de Fonctions

Exemples

Page 191: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Codage

Page 192: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Page 193: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Conversion decimal-binaire et binaire-decimal

Page 194: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Conversion decimal-binaire et binaire-decimal

∄n ⇒ 2n = 10, necessite codage octal ou hexadecimal

Page 195: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Conversion decimal-binaire et binaire-decimal

∄n ⇒ 2n = 10, necessite codage octal ou hexadecimal

Codage DCB : Decimal Code Binaire

Page 196: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Conversion decimal-binaire et binaire-decimal

∄n ⇒ 2n = 10, necessite codage octal ou hexadecimal

Codage DCB : Decimal Code Binaire

Code de Gray ou binaire reflechi

Page 197: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage

Systeme de base : codage decimal

Conversion decimal-binaire et binaire-decimal

∄n ⇒ 2n = 10, necessite codage octal ou hexadecimal

Codage DCB : Decimal Code Binaire

Code de Gray ou binaire reflechi

Code ASCII

Page 198: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position

Page 199: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Page 200: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bitNombre Decimal

Page 201: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4Nombre Decimal

Page 202: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3Nombre Decimal

Page 203: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2Nombre Decimal

Page 204: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1Nombre Decimal

Page 205: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal

Page 206: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24

Page 207: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24 0

Page 208: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24 0 22

Page 209: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24 0 22 21

Page 210: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24 0 22 21 0

Page 211: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion binaire-decimal

La conversion binaire-decimal s’effectue simplement enrealisant la somme des bits ponderes par leur position∑n−1

i=0 bi ∗ 2i ou bi est la valeur du bit de position i

Nombre Binaire 1 0 1 1 0Position du bit 4 3 2 1 0Nombre Decimal 24 0 22 21 0= 16 + 0 + 4 + 2 + 0 = 22

Page 212: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Page 213: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

Page 214: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29

Page 215: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 2

Page 216: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14

Page 217: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

Page 218: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7

Page 219: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 2

Page 220: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3

Page 221: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3 2

Page 222: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3 2

1 1

Page 223: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3 2

1 1 2

Page 224: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3 2

1 1 21 0

Page 225: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion decimal-binaire

La conversion decimal-binaire peut s’effectuer en utilisant lamethode inverse de celle enoncee precedemment. Exemples.Fastidieux pour de grand nombre.

Realise un division par 2

29 21 14 2

0 7 21 3 2

1 1 21 0

Nombre binaire = 11101

Page 226: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

Travaille avec des quartets binaires : 1010

Page 227: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

Travaille avec des quartets binaires : 1010

Interessant la taille du mot binaire de base est l’octet

Page 228: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

Travaille avec des quartets binaires : 1010

Interessant la taille du mot binaire de base est l’octet

Un octet = Deux Quartets

Page 229: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

La base du systeme Hexadecimal est la base 16

Page 230: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

La base du systeme Hexadecimal est la base 16

Il faut donc 16 symboles

Page 231: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

La base du systeme Hexadecimal est la base 16

Il faut donc 16 symboles

0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F

Page 232: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Codage Hexadecimal

Hexa Decimal Binaire Hexa Decimal Binaire

0 0 0000 8 8 1000

1 1 0001 9 9 1001

2 2 0010 A 10 1010

3 3 0011 B 11 1011

4 4 0100 C 12 1100

5 5 0101 D 13 1101

6 6 0110 E 14 1110

7 7 0111 F 15 1111

Page 233: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Page 234: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2Puissance associeeNombre Decimal

Page 235: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1Puissance associeeNombre Decimal

Page 236: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associeeNombre Decimal

Page 237: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162

Nombre Decimal

Page 238: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161

Nombre Decimal

Page 239: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161 160

Nombre Decimal

Page 240: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161 160

Nombre Decimal 10 ∗ 162

Page 241: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161 160

Nombre Decimal 10 ∗ 162 +2 ∗ 161

Page 242: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161 160

Nombre Decimal 10 ∗ 162 +2 ∗ 161 +14 ∗ 160

Page 243: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Decimal

De meme que pour la conversion binaire-decimal, il s’agit icide faire une sommation pondere des symboles

Nombre Hexadecimal A 2 EPosition du symbole 2 1 0Puissance associee 162 161 160

Nombre Decimal 10 ∗ 162 +2 ∗ 161 +14 ∗ 160

= 2606

Page 244: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

Page 245: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311

Page 246: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 16

Page 247: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19

Page 248: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

Page 249: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

3 1

Page 250: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

3 1 16

Page 251: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

3 1 161 0

Page 252: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

3 1 161 0

Page 253: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Decimal-Hexadecimal

De meme que pour la conversion decimal-binaire on a recourta la division

311 167 19 16

3 1 161 0

Nombre Hexadecimal = 137

Page 254: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Page 255: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Nombre Hexadecimal E 3 B 1Nombre Binaire

Page 256: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Nombre Hexadecimal E 3 B 1Nombre Binaire 1110

Page 257: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Nombre Hexadecimal E 3 B 1Nombre Binaire 1110 0011

Page 258: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Nombre Hexadecimal E 3 B 1Nombre Binaire 1110 0011 1011

Page 259: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Hexadecimal-Binaire

Le nombre binaire est deduit en remplacant chaque chiffrehexadecimal par son quartet binaire

Nombre Hexadecimal E 3 B 1Nombre Binaire 1110 0011 1011 0001

Page 260: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

Page 261: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Page 262: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011

Page 263: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011Nombre Hexadecimal

Page 264: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011Nombre Hexadecimal 5

Page 265: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011Nombre Hexadecimal 5 A

Page 266: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011Nombre Hexadecimal 5 A C

Page 267: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Conversion Binaire-Hexadecimal

La methode est l’inverse de la precedente

on regroupe les bits par quartet et on remplace les quartetspar leur equivalent hexadecimal.

Nombre Binaire 0101 1010 1100 1011Nombre Hexadecimal 5 A C B

Page 268: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

Page 269: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

les symboles 0,1,2,3,4,5,6,7,8 et 9 appartiennent au codedecimal et hexadecimal

Page 270: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

les symboles 0,1,2,3,4,5,6,7,8 et 9 appartiennent au codedecimal et hexadecimal

Necessite d’une convention d’ecriture pour differencier

Page 271: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

les symboles 0,1,2,3,4,5,6,7,8 et 9 appartiennent au codedecimal et hexadecimal

Necessite d’une convention d’ecriture pour differencier

Binaire 100Decimal 100Hexadecimal 100

Page 272: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

les symboles 0,1,2,3,4,5,6,7,8 et 9 appartiennent au codedecimal et hexadecimal

Necessite d’une convention d’ecriture pour differencier

Binaire 100B

Decimal 100Hexadecimal 100

Page 273: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Notations

Les symboles 0,1 appartiennent au code binaire, decimal ethexadecimal

les symboles 0,1,2,3,4,5,6,7,8 et 9 appartiennent au codedecimal et hexadecimal

Necessite d’une convention d’ecriture pour differencier

Binaire 100B

Decimal 100Hexadecimal 100H

Page 274: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Page 275: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimal

Page 276: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire

Page 277: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101

Page 278: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011

Page 279: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111

Page 280: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Page 281: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Nombre Binaire 0101 1001 1000 0011Nombre Decimal

Page 282: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Nombre Binaire 0101 1001 1000 0011Nombre Decimal 5

Page 283: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Nombre Binaire 0101 1001 1000 0011Nombre Decimal 5 9

Page 284: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Nombre Binaire 0101 1001 1000 0011Nombre Decimal 5 9 8

Page 285: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire : DCB

Remplacer chaque chiffre d’un nombre decimal par sonequivalent binaire

Faire une correspondance directe entre binaire et decimalNombre Decimal 5 3 7 1Nombre Binaire 0101 0011 0111 0001

Nombre Binaire 0101 1001 1000 0011Nombre Decimal 5 9 8 3

Page 286: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

Page 287: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

Page 288: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

1010B ,1011B ,1100B ,1101B ,1110B ,1111B

Page 289: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

1010B ,1011B ,1100B ,1101B ,1110B ,1111B

Difference entre codage binaire et DCB

Page 290: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

1010B ,1011B ,1100B ,1101B ,1110B ,1111B

Difference entre codage binaire et DCB

231 en binaire

231 en DCB

Page 291: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

1010B ,1011B ,1100B ,1101B ,1110B ,1111B

Difference entre codage binaire et DCB

231 =11100111B en binaire

231 en DCB

Page 292: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Decimal Code Binaire

Sous-Utilisation de l’espace de representation binaire

6 representations interdites

1010B ,1011B ,1100B ,1101B ,1110B ,1111B

Difference entre codage binaire et DCB

231 =11100111B en binaire

231 =001000110001B en DCB

Page 293: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code de Gray

Une representation ne differe de la precedente que d’un bit

Page 294: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code de Gray

Une representation ne differe de la precedente que d’un bitDecimal Binaire Gray Decimal Binaire Gray

0 0000 0000 8 1000 1100

1 0001 0001 9 1001 1101

2 0010 0011 10 1010 1111

3 0011 0010 11 1011 1110

4 0100 0110 12 1100 1010

5 0101 0111 13 1101 1011

6 0110 0101 14 1110 1001

7 0111 0100 15 1111 1000

Page 295: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Page 296: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Page 297: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Mise en place d’un codage sur 7 bits :

Page 298: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Mise en place d’un codage sur 7 bits : l’ASCII

Page 299: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Mise en place d’un codage sur 7 bits : l’ASCII

American Standard Code for Information Interchange

Page 300: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Mise en place d’un codage sur 7 bits : l’ASCII

American Standard Code for Information Interchange

7 bits : 26 lettres minuscules, 26 lettres majuscules, 10chiffres, 7 signes de ponctuation soit 69 signes a coder. Lereste sert pour des caracteres speciaux

Page 301: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

Code ASCII

Besoin de traiter de l’information non numerique

Information Alphanumerique : , ? R t j

Mise en place d’un codage sur 7 bits : l’ASCII

American Standard Code for Information Interchange

7 bits : 26 lettres minuscules, 26 lettres majuscules, 10chiffres, 7 signes de ponctuation soit 69 signes a coder. Lereste sert pour des caracteres speciaux

ASCII etendu : 8 bits

Page 302: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

ASCII

Caractere Code HexadecimalA 41H

E 45H

I 49H

M 4DH

N 4EH

Q 51H

R 52H

U 55H

Page 303: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

ASCII

Caractere Code HexadecimalA 41H

E 45H

I 49H

M 4DH

N 4EH

Q 51H

R 52H

U 55H

4EH55H4DH45H52H49H51H55H45H

Page 304: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Codage

ASCII

Caractere Code HexadecimalA 41H

E 45H

I 49H

M 4DH

N 4EH

Q 51H

R 52H

U 55H

4EH55H4DH45H52H49H51H55H45H

NUMERIQUE

Page 305: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Conception

Conception

Page 306: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Comment Concevoir un Circuit ?

Cela depend du circuit.

Page 307: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Comment Concevoir un Circuit ?

Cela depend du circuit.

petits circuits : A la main, en schematique

Page 308: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Comment Concevoir un Circuit ?

Cela depend du circuit.

petits circuits : A la main, en schematique

circuits moyens : A la main a l’aide de composants discrets

Page 309: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Comment Concevoir un Circuit ?

Cela depend du circuit.

petits circuits : A la main, en schematique

circuits moyens : A la main a l’aide de composants discrets

gros circuits : A l’aide de langage de Description de circuitsnumeriques

Page 310: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Les Langages de description

Langage de type HDL : Hardware Description Language

Page 311: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Les Langages de description

Langage de type HDL : Hardware Description Language

VHDL : Volonte d’Industriels et de Chercheurs de definir unlangage HDL

Page 312: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Les Langages de description

Langage de type HDL : Hardware Description Language

VHDL : Volonte d’Industriels et de Chercheurs de definir unlangage HDL

Verilog : Issu de la societe Cadence Inc.

Page 313: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Les Langages de description

Langage de type HDL : Hardware Description Language

VHDL : Volonte d’Industriels et de Chercheurs de definir unlangage HDL

Verilog : Issu de la societe Cadence Inc.

System C : Mettre au meme niveau Logiciel et Materiel

Page 314: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Page 315: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Langage Mur et couramment utilise

Page 316: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Langage Mur et couramment utilise

3 Niveaux :

Page 317: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Langage Mur et couramment utilise

3 Niveaux :

Niveau Structurel

Page 318: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Langage Mur et couramment utilise

3 Niveaux :

Niveau StructurelNiveau Flot de Donnees

Page 319: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL

Existe depuis 1987 date de la premiere norme. 1993 secondenorme.

Langage Mur et couramment utilise

3 Niveaux :

Niveau StructurelNiveau Flot de DonneesNiveau Comportemental

Page 320: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - RTL

RTL : Register Transfert Level

Page 321: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - RTL

RTL : Register Transfert Level

Description Synthetisable

Page 322: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - RTL

RTL : Register Transfert Level

Description Synthetisable

Utilisable pour fondre un circuit

Page 323: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - RTL

RTL : Register Transfert Level

Description Synthetisable

Utilisable pour fondre un circuit

Utilisee dans ce cours

Page 324: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Base

3 blocs de base:

Page 325: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Base

3 blocs de base:

Les bibliotheques

Page 326: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Base

3 blocs de base:

Les bibliothequesL’entite : Decrit l’interfacage du composant

Page 327: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Base

3 blocs de base:

Les bibliothequesL’entite : Decrit l’interfacage du composantL’architecture : Decrit le fonctionnement du composant

Page 328: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Bibliotheque

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

Page 329: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - Entite

entity MON-ET is

port( A : in std_logic;

B : in std_logic;

S : out std_logic);

end entity MON-ET;

Page 330: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

VHDL - L’architecture

S = A et B

architecture FLOT of MON-ET is

begin

S <= A and B;

end architecture FLOT;

Page 331: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Page 332: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Page 333: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Simule le circuit

Page 334: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Simule le circuit

Synthetise le circuit

Page 335: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Simule le circuit

Synthetise le circuit

Placement-Routage du circuit

Page 336: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Simule le circuit

Synthetise le circuit

Placement-Routage du circuit

Realise un masque

Page 337: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

flot de conception

De la description au circuit

Decrit en VHDL le circuit

Simule le circuit

Synthetise le circuit

Placement-Routage du circuit

Realise un masque

Cuisson du circuit

Page 338: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Langage HDL, a quoi ca sert ?

A la conception d’ASIC

Page 339: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Langage HDL, a quoi ca sert ?

A la conception d’ASIC

A la programmation de FPGA (cours SSN)

Page 340: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Introduction a VHDL

Langage HDL, a quoi ca sert ?

A la conception d’ASIC

A la programmation de FPGA (cours SSN)

A la verification fonctionnelle de circuits numeriques.

Page 341: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Logique Combinatoire

Page 342: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Transposition de l’algebre de Boole a l’electronique

Page 343: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Transposition de l’algebre de Boole a l’electronique

Rendu possible grace au composant tel que le transistorcommande en tension

Page 344: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Transposition de l’algebre de Boole a l’electronique

Rendu possible grace au composant tel que le transistorcommande en tension

Le domaine de validite de ce qui suit est l’electroniquenumerique

Page 345: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses

Page 346: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses sorties sontdeterminees par la

Page 347: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses sorties sontdeterminees par la combinaison de ses

Page 348: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses sorties sontdeterminees par la combinaison de ses variables d’entrees et ceciapres

Page 349: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses sorties sontdeterminees par la combinaison de ses variables d’entrees et ceciapres un temps fini.

Page 350: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

Definition :Un circuit electronique est dit combinatoire si ses sorties sontdeterminees par la combinaison de ses variables d’entrees et ceciapres un temps fini. L’etat d’un systeme est donc defini par lacombinaison des variables e1, . . . , ei , . . . , en.

Page 351: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

CircuitCombinatoire

e 1

e n

s 1

s i

s n

e i

Page 352: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

CircuitCombinatoire

e 1

e n

s 1

s i

s n

e i tp

tp

tp

s’1

s’ i

s’n

Page 353: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire

CircuitCombinatoire

e 1

e n

s 1

s i

s n

e i

tp

Page 354: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Les Aleas Temporels

a + a = 0 ?

Page 355: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a une variable

Page 356: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a une variable

Buffer (identite) : s = a

1

Page 357: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a une variable

Buffer (identite) : s = a

1

Inverseur : s = a

1

Page 358: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

Page 359: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

ET (AND) : s = a.b

&

Page 360: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

ET (AND) : s = a.b

&

NON-ET (NAND) : s = a.b

&

Page 361: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

Page 362: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

OU (OR) : s = a + b

1

Page 363: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

OU (OR) : s = a + b

1

NON-OU (NOR) : s = a + b

1

Page 364: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

Page 365: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

OU-EXCLUSIF : s = a ⊕ b = ab + ab

1

Page 366: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Logique Combinatoire - Operateurs de base

Fonctions a deux variables

OU-EXCLUSIF : s = a ⊕ b = ab + ab

1

NON-OU-EXCLUSIF : s = a ⊕ b = ab + ab = ab + ab

1

Page 367: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Plan du Cours

Introduction

Algebre de Boole et Logique Combinatoire

Fonctions Combinatoires Complexes

Page 368: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonctions Combinatoires Complexes

Fonctions Combinatoires Complexes

Page 369: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

introduction

Composees a partir des operateurs de base

Page 370: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

introduction

Composees a partir des operateurs de base

Conditionnement de donnees

Page 371: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

introduction

Composees a partir des operateurs de base

Conditionnement de donnees

Controle de donnees

Page 372: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

introduction

Composees a partir des operateurs de base

Conditionnement de donnees

Controle de donnees

Definies par leur table de verite

Page 373: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 bits

Page 374: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 bits

a b s

0 0 10 1 01 0 01 1 1

Page 375: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 bits

a b s

0 0 10 1 01 0 01 1 1

s = a ⊕ b

Page 376: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Egalite - VHDL

entity egalite is

port( a,b : in std_logic;

s : out std_logic);

end entity egalite;

architecture flot of egalite is

begin

s <= not(a xor b);

end architecture flot;

Page 377: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

Page 378: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bitsa = a1, a0 et b = b1, b0

a1 a0 b1 b0 s a1 a0 b1 b0 s0 0 0 0 1 1 0 0 0 00 0 0 1 0 1 0 0 1 00 0 1 0 0 1 0 1 0 10 0 1 1 0 1 0 1 1 00 1 0 0 0 1 1 0 0 00 1 0 1 1 1 1 0 1 00 1 1 0 0 1 1 1 0 00 1 1 1 0 1 1 1 1 1

Page 379: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bitsa = a1, a0 et b = b1, b0

00

01

11

10

00

01

11

10

1

1

1

a1

a0

b1b0

1

Page 380: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bitsa = a1, a0 et b = b1, b0

00

01

11

10

00

01

11

10

1

1

1

a1

a0

b1b0

1

Page 381: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bitsa = a1, a0 et b = b1, b0

00

01

11

10

00

01

11

10

1

1

1

a1

a0

b1b0

1

Page 382: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

s = a1.a0.b1.b0 + a1a0.b1.b0 + a1.a0.b1.b0 + a1.a0.b1.b0

Page 383: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

s = a1.a0.b1.b0 + a1a0.b1.b0 + a1.a0.b1.b0 + a1.a0.b1.b0

s = (a1 ⊕ b1)(a0 ⊕ b0)

Page 384: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

s = a1.a0.b1.b0 + a1a0.b1.b0 + a1.a0.b1.b0 + a1.a0.b1.b0

s = (a1 ⊕ b1)(a0 ⊕ b0)

s

a0

b0

a1

b1

Page 385: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

s = a1.a0.b1.b0 + a1a0.b1.b0 + a1.a0.b1.b0 + a1.a0.b1.b0

s = (a1 ⊕ b1)(a0 ⊕ b0)

Egalite de 2 mots de n bits :

Page 386: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonction Egalite

Egalite 2 mots de 2 bits

a = a1, a0 et b = b1, b0

s = a1.a0.b1.b0 + a1a0.b1.b0 + a1.a0.b1.b0 + a1.a0.b1.b0

s = (a1 ⊕ b1)(a0 ⊕ b0)

Egalite de 2 mots de n bits :s = (an−1 ⊕ bn−1)(an−2 ⊕ bn−2)(...)(a1 ⊕ b1)(a0 ⊕ b0)

Page 387: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Egalite - VHDL

entity egalite is

port( a,b : in std_logic_vector(1 downto 0);

s : out std_logic);

end entity egalite;

architecture flot of egalite is

begin

s <= not(a(1) xor b(1)) and not(a(0) xor b(0));

end architecture flot;

Page 388: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Egalite - VHDL

VHDL apres l’UE LE201

Ce que peut faire VHDL

Hors du cadre du cours LE201

entity egalite is

port( a,b : in std_logic_vector(1 downto 0);

s : out std_logic);

end entity egalite;

architecture comp of egalite is

begin

process(a,b) is

begin

if a= b then

s<=’1’;

else

s<=’0’;

end if;

end process;

end architecture comp;

Page 389: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Multiplexeur = Aiguillage

Page 390: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Multiplexeur = Aiguillage

Une commande choisie l’entree

Page 391: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Multiplexeur = Aiguillage

Une commande choisie l’entree

Entree choisie recopiee sur la sortie

Page 392: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Multiplexeur = Aiguillage

Une commande choisie l’entree

Entree choisie recopiee sur la sortie

Partie Commande : p bits

Page 393: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Multiplexeur = Aiguillage

Une commande choisie l’entree

Entree choisie recopiee sur la sortie

Partie Commande : p bits

Partie Donnee : 2p = n entrees, 1 sortie

Page 394: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1 - Table de verite

sel a b s

0 0 0 00 0 1 00 1 0 10 1 1 11 0 0 01 0 1 11 1 0 01 1 1 1

Page 395: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1 - Table de verite

Page 396: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1 - Table de verite

00

01

11

10

0

1

ab

sel

1 1

1 1

Page 397: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1 - Table de verite

00

01

11

10

0

1

ab

sel

1 1

1 1

Page 398: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1 - Table de verite

s = sel .a + sel .b

Page 399: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1

Schema

Page 400: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 2 vers 1

Schema

a

b

s

sel

Page 401: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

VHDL - mux2v1

entity m2v1 is

port(a,b,sel : in std_logic;

s: out std_logic);

end entity m2v1;

architecture flot of m2v1 is

begin

s <= (a and not(sel)) or (b and sel);

end architecture flot;

Page 402: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

VHDL - mux2v1

VHDL un petit cran en plus

Ou l’on utilise des constructions VHDL un peu plus expressives

entity m2v1 is

port(a,b,sel : in std_logic;

s: out std_logic);

end entity m2v1;

architecture flot of m2v1 is

begin

s <= a when sel=’0’ else b; -- s prend la valeur de a si

-- sinon si sel <> ’0’ s prend

end architecture flot;

Page 403: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

4 donnees et 2 commandes

Page 404: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

4 donnees et 2 commandes

26 = 64 lignes dans la table de verite

Page 405: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

4 donnees et 2 commandes

26 = 64 lignes dans la table de verite

Toutes les lignes ne sont pas interessantes

Page 406: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

4 donnees et 2 commandes

26 = 64 lignes dans la table de verite

Toutes les lignes ne sont pas interessantes

Une commande ⇒ Une variable pertinente

Page 407: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

sel1 sel0 a b c d s

0 0 0 X X X 00 0 1 X X X 10 1 X 0 X X 00 1 X 1 X X 11 0 X X 0 X 01 0 X X 1 X 11 1 X X X 0 01 1 X X X 1 1

Page 408: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

sel1 sel0 s

0 0 a0 1 b1 0 c1 1 d

Page 409: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

sel1 sel0 s

0 0 a0 1 b1 0 c1 1 d

s = sel1.sel0.a + sel1.sel0.b + sel1.sel0.c + sel1.sel0.d

Page 410: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs 4 vers 1

s

sel0sel1

a

b

c

d

Page 411: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Page 412: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Un Multiplexeur n vers 1 realise 2n fonctions

Page 413: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Un Multiplexeur n vers 1 realise 2n fonctions

Valeurs des entrees = valeurs de la fonction

Page 414: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Un Multiplexeur n vers 1 realise 2n fonctions

Valeurs des entrees = valeurs de la fonction

Un Multiplexeur 4 vers 1

Page 415: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Un Multiplexeur n vers 1 realise 2n fonctions

Valeurs des entrees = valeurs de la fonction

Un Multiplexeur 4 vers 1

x y s entree mux

0 0 0 a = 00 1 0 b = 01 0 0 c = 01 1 1 d = 1

Page 416: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplexeurs

Permet de realiser des fonctions logiques

Un Multiplexeur n vers 1 realise 2n fonctions

Valeurs des entrees = valeurs de la fonction

Un Multiplexeur 4 vers 1

x y s entree mux

0 0 0 a = 00 1 0 b = 01 0 0 c = 01 1 1 d = 1

x et y commandes du multiplexeur

Page 417: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

Inverse du Multiplexeurs

Page 418: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

Inverse du Multiplexeurs

1 donnees, p commandes, 2p = n sorties

Page 419: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

Inverse du Multiplexeurs

1 donnees, p commandes, 2p = n sorties

Demultiplexeur 1 vers 2

Page 420: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

Inverse du Multiplexeurs

1 donnees, p commandes, 2p = n sorties

Demultiplexeur 1 vers 2

sel a s1 s0

0 0 0 00 1 0 11 0 0 01 1 1 0

Page 421: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

sel a s1 s0

0 0 0 00 1 0 11 0 0 01 1 1 0

Page 422: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

s0 = sel .a et s1 = sel .a

Page 423: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs

a

sel

s0

s1

Page 424: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demultiplexeurs - VHDL

entity demux is

port ( sel,a : in std_logic;

s0,s1 : out std_logic);

end entity demux;

architecture flot of demux is

begin

s0 <= a when sel = ’0’ else ’0’;

s1 <= a when sel = ’1’ else ’0’;

end architecture flot;

Page 425: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

Page 426: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

Page 427: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Page 428: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Page 429: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Trivial :

Page 430: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Trivial :

s0 = a.b,

Page 431: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Trivial :

s0 = a.b,s1 = a.b,

Page 432: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Trivial :

s0 = a.b,s1 = a.b,s2 = a.b,

Page 433: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeurs

Decodage Binaire → Codage 1 parmi n

n entrees, 2n sorties

a b s3 s2 s1 s0

0 0 0 0 0 10 1 0 0 1 01 0 0 1 0 01 1 1 0 0 0

Autant de tableaux de Karnaugh que de sorties !

Trivial :

s0 = a.b,s1 = a.b,s2 = a.b,s3 = a.b

Page 434: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeur

s0

s1

s2

s3

a

b

Page 435: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeur

Decodeur Binaire Base n

Page 436: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Decodeur

Decodeur Binaire Base n

0123456789ABCDEF

{NombreBinaire

Décodeur4 vers 16

a

a0

a1

a2

a3

Page 437: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

inverseur des decodeurs :codeurs

Page 438: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

inverseur des decodeurs :codeurs

2n entrees, n sorties

Page 439: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

inverseur des decodeurs :codeurs

2n entrees, n sorties

s3 s2 s1 s0 a b

0 0 0 1 0 00 0 1 0 0 10 1 0 0 1 01 0 0 0 1 1

Page 440: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

inverseur des decodeurs :codeurs

2n entrees, n sorties

s3 s2 s1 s0 a b

0 0 0 1 0 00 0 1 0 0 10 1 0 0 1 01 0 0 0 1 1

b = s3.s2.s1.s0 + s3.s2.s1.s0 = s2.s0(s3 ⊕ s1)

Page 441: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

inverseur des decodeurs :codeurs

2n entrees, n sorties

s3 s2 s1 s0 a b

0 0 0 1 0 00 0 1 0 0 10 1 0 0 1 01 0 0 0 1 1

b = s3.s2.s1.s0 + s3.s2.s1.s0 = s2.s0(s3 ⊕ s1)

a = s3.s2.s1.s0 + s3.s2.s1.s0 = s1.s0.(s3 ⊕ s2)

Page 442: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Encodeurs

a

b

s0

s1

s2

s3

Page 443: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Fonctions Combinatoires Complexes

Fonctions Combinatoires Arithmetiques

Page 444: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Codage Signe + Valeur Absolue

Page 445: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe :

Codage Signe + Valeur Absolue

Page 446: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Codage Signe + Valeur Absolue

Page 447: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 01 1 0 0

Codage Signe + Valeur Absolue

Page 448: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 0 +1 1 0 0

Codage Signe + Valeur Absolue

Page 449: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 0 + 41 1 0 0

Codage Signe + Valeur Absolue

Page 450: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 0 + 41 1 0 0 -

Codage Signe + Valeur Absolue

Page 451: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 0 + 41 1 0 0 - -4

Codage Signe + Valeur Absolue

Page 452: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Nombres Signes

Comment Coder les Nombres Signes en Binaire ?

Introduire un bit de signe : bit de poids fort

Nombre sur 4 bitsb3 b2 b1 b0 signe valeur decimale

0 1 0 0 + 41 1 0 0 - -4

Codage Signe + Valeur Absolue

Necessite trop de logique pour realiser des operateursarithmetiques

Page 453: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Page 454: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Complement a 2 :

Page 455: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Complement a 2 :

Bit de signe : bit de poids fort

Page 456: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Complement a 2 :

Bit de signe : bit de poids fortSi bit de signe = 0 : Le nombre est code

Page 457: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Complement a 2 :

Bit de signe : bit de poids fortSi bit de signe = 0 : Le nombre est codeSi bit de signe = 1 : Complement a 2 pour avoir la valeur

Page 458: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Utilisation d’un codage qui permet de limiter les operateur

Complement a 2 :

Bit de signe : bit de poids fortSi bit de signe = 0 : Le nombre est codeSi bit de signe = 1 : Complement a 2 pour avoir la valeur

Principe : Pour un nombre de n bits complementer le nombrepour arriver a 2n

Page 459: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

Page 460: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

Page 461: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0

Page 462: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1

Page 463: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 +

Page 464: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Page 465: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

Page 466: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

b3 b2 b1 b0 signe valeur decimale

Page 467: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

b3 b2 b1 b0 signe valeur decimale

1

Page 468: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

b3 b2 b1 b0 signe valeur decimale

1 0 0 1

Page 469: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

b3 b2 b1 b0 signe valeur decimale

1 0 0 1 -

Page 470: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage de 7 :

b3 b2 b1 b0 signe valeur decimale

0 1 1 1 + 7

Codage de -7 :

b3 b2 b1 b0 signe valeur decimale

1 0 0 1 - -7

Page 471: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Page 472: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Page 473: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Page 474: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

Page 475: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

Page 476: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue

Page 477: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur AbsolueComplement a 1

Page 478: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 Complement a 1

Page 479: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 Complement a 1

Page 480: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 Complement a 1

Page 481: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1

Page 482: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 1

Page 483: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 1

1

Page 484: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 1

1 1

Page 485: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 1

0 1 1

Page 486: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 11 0 1 1

Page 487: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Etapes pour complementer a 2

Faire le complement a 1 du nombre : complementation bit abit

Ajouter 1 au nombre

Exemple : codage de -5

b3 b2 b1 b0 Commentaires

0 1 0 1 Valeur Absolue1 0 1 0 Complement a 1+ 1 Ajout de 11 0 1 1 Complement a 2

Page 488: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Avantage :

Page 489: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Avantage :

Unicite du 0

Page 490: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Avantage :

Unicite du 0

Utilisation du meme operateur pour l’addition et lasoustraction

Page 491: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Avantage :

Unicite du 0

Utilisation du meme operateur pour l’addition et lasoustraction

Modulo : 9H - 4H = (9H + CH)modulo(10H ) = 5H

Page 492: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Avantage :

Unicite du 0

Utilisation du meme operateur pour l’addition et lasoustraction

Modulo : 9H - 4H = (9H + CH)modulo(10H ) = 5H

Exemples en binaire.

Page 493: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Codage sur N bits, N fini

On veut coder un nombre negatif −P sur N bits, P ∈ [0, 2N ]

On sait que 2N = CP + P

On pose −P = CPmod2N

Ce qui donne −P = (2N − P)mod2N

On sait que P ∈ [0, 2N ] donc on a bien (2N −P)mod2N = −P

Page 494: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement a 2

Si P positif on le code

P =Pi=N−1

i=0bi ∗ 2i

P = bN−1 ∗ 2N−1 +Pi=N−2

i=0bi ∗ 2i avec bN−1 = 0

Si P negatif on le code

P = −(2N−

Pi=N−1

i=0 bi ∗ 2i )

P = −(2N− bN−1 ∗ 2N−1

−Pi=N−2

i=0bi ∗ 2i ) avec bN−1 = 1

P = −(2N− 2N−1

−Pi=N−2

i=0bi ∗ 2i )

P = −(2N−1(2 − 1) −Pi=N−2

i=0bi ∗ 2i )

P = −(2N−1−

Pi=N−2

i=0 bi ∗ 2i )

P = −bN−1 ∗ 2N−1 +Pi=N−2

i=0bi ∗ 2i avec bN−1 = 1

Nombre en complement a 2

P = −bN−1 ∗ 2N−1 +Pi=N−2

i=0bi ∗ 2i

Page 495: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneur

Page 496: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

Page 497: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0

Page 498: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0

Page 499: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 0

Page 500: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1

Page 501: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1

Page 502: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 0

Page 503: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0

Page 504: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1

Page 505: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 0

Page 506: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 01 1

Page 507: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 01 1 0

Page 508: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 01 1 0 1

Page 509: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 01 1 0 1

s = a ⊕ b

Page 510: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

Realisation d’un demi-additionneura b s r

0 0 0 00 1 1 01 0 1 01 1 0 1

s = a ⊕ b

r = a.b

Page 511: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

ab s

c

Page 512: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Demi-Additionneur

entity demi-add is

port( a,b : in std_logic;

s,c : out std_logic);

end entity demi-add;

architecture flot of demi-add is

begin

s<= a xor b;

c<= a and b;

end architecture flot;

Page 513: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Page 514: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Trois variables d’entrees, deux de sorties

Page 515: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Trois variables d’entrees, deux de sorties

ai ,bi ,ci et si ,ci+1

Page 516: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Trois variables d’entrees, deux de sorties

ai ,bi ,ci et si ,ci+1

si = ai ⊕ bi ⊕ ci

Page 517: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Trois variables d’entrees, deux de sorties

ai ,bi ,ci et si ,ci+1

si = ai ⊕ bi ⊕ ci

ci+1 = ai .bi + ai .ci + bi .ci

Page 518: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

Introduction d’une retenue d’entree

Trois variables d’entrees, deux de sorties

ai ,bi ,ci et si ,ci+1

si = ai ⊕ bi ⊕ ci

ci+1 = ai .bi + ai .ci + bi .ci

Utilisation de deux demi-additionneurs

Page 519: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

DemiAdditionneur

ai

bi

DemiAdditionneur

si

ci+1

ci

Page 520: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

entity add1 is

port( a,b,cin : in std_logic;

s,cout : out std_logic);

end entity add1;

architecture struct of add1 is

signal stemp,ctemp1,ctemp2 : std_logic;

begin

demi-add1 : entity work.demi-add(flot)

port map(a,b,stemp,ctemp1);

demi-add2 : entity work.demi-add(flot)

port map(stemp,cin,s,ctemp2);

cout <= ctemp1 or ctemp2

end architecture struct;

Page 521: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

entity add1 is

port( a,b,cin : in std_logic;

s,cout : out std_logic);

end entity add1;

architecture flot of add1 is

begin

s<= a xor b xor cin;

cout<= (a and b) or (a and cin)

or (b and cin);

end architecture flot;

Page 522: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 1 bit

VHDL apres l’UE LE201

Ce que peut faire VHDLHors du cadre du cours LE201

entity add1 is

port( a,b : in std_logic;

s : out std_logic);

end entity add1;

architecture comport of add1 is

begin

s<= a + b;

end architecture comport;

Page 523: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits

Page 524: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits

a0

b0

c0 c1

s0

Page 525: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits

a0

b0

c0

a1

b1

c1 c2

s0 s1

Page 526: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits

a0

b0

c0

a1

b1

c1

a2

b2

c2 c3

s0 s1 s2

Page 527: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits

a0

b0

c0

a1

b1

c1

a2

b2

c2

a3

b3

c3

s0 s1 s2 s3

c4

Page 528: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits : Entite

ENTITY add4 IS

port (a,b : in std_logic_vector(3 downto 0);

cin : in std_logic;

s : out std_logic_vector(3 downto 0);

cout : out std_logic);

END ENTITY add4;

Page 529: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits : Architecture Simple

ARCHITECTURE struct_simple OF add4 IS

signal c : std_logic_vector(4 downto 0);

BEGIN

c(0) <= cin;

cout <= c(4);

add1_0 : entity work.add1(flot)

port map (a(0),b(0),c(0),s(0),c(1));

add1_1 : entity work.add1(flot)

port map (a(1),b(1),c(1),s(1),c(2));

add1_2 : entity work.add1(flot)

port map (a(2),b(2),c(2),s(2),c(3));

add1_3 : entity work.add1(flot)

port map (a(3),b(3),c(3),s(3),c(4));

END ARCHITECTURE struct_simple;

Page 530: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Additionneur 4 bits : Architecture avec Generation

ARCHITECTURE struct_generate OF add4 IS

signal c : std_logic_vector(4 downto 0);

BEGIN

c(0) <= cin;

cout <= c(4);

instance : for i in 0 to 3 generate

add1_i : entity work.add1(flot)

port map (a(i),b(i),c(i),s(i),c(i+1));

end generate;

END ARCHITECTURE struct_generate;

Page 531: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplieur

Utilisation de l’algorithme de Multiplication

Page 532: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplieur

Utilisation de l’algorithme de Multiplication

n ∗ m m additions de n

Page 533: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Multiplieur

Utilisation de l’algorithme de Multiplication

ai

bi

ci

si

ci+1

ai

bi

ci

si

ci+1

ai

bi

ci

si

ci+1

ai

bi

ci

si

ci+1

ai

bi

ci

si

ci+1

ai

bi

ci

si

ci+1

b0

b1

b2

a0

a1

a2

0

0

0

p0

p1

p2

p3

p4

p5

Page 534: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement VHDL : Modelisation du temps

library ieee;

use ieee.std_logic_1164.all;

entity mon-et is

port(a,b : in std_logic;

s : out std_logic);

end entity mon-et;

architecture flot of mon-et is

begin

s <= a and b after 25 ns;

end architecture flot;

Page 535: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Combinatoire

Complement VHDL : Decalage et mise a l’echelle

library ieee;

use ieee.std_logic_1164.all;

entity conversion is

port(a : in std_logic_vector(5 downto 0);

s,s2,s3 : out std_logic_vector(11 downto 0));

end entity conversion;

architecture flot of conversion is

begin

a <= "010101";

s <= "0000" & a & "00"; -- s = "000001010100"

s2 <= "00000" & a & ’0’; -- s2 = "000000101010"

s3 <= a & "000000"; -- s3 = "010101000000"

end architecture flot;

Page 536: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Plan du Cours

Introduction

Algebre de Boole et Logique Combinatoire

Fonctions Combinatoires Complexes

Elements sequentiels de base : Les Bascules

Page 537: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les elements sequentiels de base

Elements de base

Page 538: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les elements sequentiels de base

Elements de base

Regulation du flux des donnees

Page 539: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les elements sequentiels de base

Elements de base

Regulation du flux des donnees

Fonction Memorisation

Page 540: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les elements sequentiels de base

Elements de base

Regulation du flux des donnees

Fonction Memorisation

Elements Asynchrones

Page 541: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les elements sequentiels de base

Elements de base

Regulation du flux des donnees

Fonction Memorisation

Elements Asynchrones

Elements Synchrones

Page 542: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Elements Asynchrones

Les Bascules Asynchrones

Page 543: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

S

RQ

Q

Page 544: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

1

01

0

Page 545: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

0

10

1

Page 546: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

0

0Q

Q

Page 547: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

1

10

0

Page 548: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

0

01

1

Page 549: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

0

00

0

Page 550: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

Table de Verite

Page 551: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

Table de Verite

R S Q Q

0 0 Q Q0 1 1 01 0 0 11 1 Etat Interdit

Page 552: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

Table de Verite

R S Q Q

0 0 Q Q0 1 1 01 0 0 11 1 Etat Interdit

Element Asynchrone

Page 553: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le bascule RS

Table de Verite

R S Q Q

0 0 Q Q0 1 1 01 0 0 11 1 Etat Interdit

Element Asynchrone

Base de toutes les bascules

Page 554: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D

D S

RQ

Q

Page 555: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D

0 0

10

1

Page 556: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D

1 1

01

0

Page 557: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D

D Q

Q

Page 558: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Elements Synchrone

Bascules Synchrones

Page 559: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

D

H

S

RQ

Q

Page 560: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

D

1

D

D

D

D

Page 561: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

D

0

0

Q

Q

0

Page 562: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

H

D

Q

Page 563: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

D Q

CK Q

Page 564: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

Table de Verite

Page 565: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

Table de VeriteD H Qn+1 Qn+1

0 0 Qn Qn

0 1 0 1

1 0 Qn Qn

1 1 1 0

Page 566: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau

Table de VeriteD H Qn+1 Qn+1

X 0 Qn Qn

0 1 0 11 1 1 0

Page 567: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0

0 01D Q

CK Q

11

Page 568: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur niveau : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q1

1 11D Q

CK Q

11

Page 569: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

D

H

S

R

S

RQ

Q

Page 570: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

D

1

D

0

0Q

Q

D

DD

Page 571: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

D

0

0

D

D

D

D

0D

D

Page 572: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

D

H

S

R

S

RQ

Q

Maitre Esclave

Page 573: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

Architecture Maıtre-Esclave

Page 574: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

D Q

CK Q

Page 575: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

Table de Verite

Page 576: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

Table de Verite

Front Montant

D H Q Q

X 0 Q Q0 ↑ 0 11 ↑ 1 0

Page 577: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front

Table de Verite

Front Montant

D H Q Q

X 0 Q Q0 ↑ 0 11 ↑ 1 0

Front Descendant

D H Q Q

X 0 Q Q0 ↓ 0 11 ↓ 1 0

Page 578: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0

0 01D Q

CK Q

1

Page 579: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0 -> 1

1 10D Q

CK Q

0

Page 580: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q 1

1 10D Q

CK Q

0

Page 581: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q 1 -> 0

1 10D Q

CK Q

0

Page 582: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0

1 10D Q

CK Q

0

Page 583: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0->1

0 01D Q

CK Q

1

Page 584: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q1

0 01D Q

CK Q

1

Page 585: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q 1->0

0 01D Q

CK Q

1

Page 586: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0

0 01D Q

CK Q

1

Page 587: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q0 -> 1

1 10D Q

CK Q

0

Page 588: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q 1

1 10D Q

CK Q

0

Page 589: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : chenillar

D Q

CK Q

D Q

CK Q

D Q

CK Q 1 -> 0

1 10D Q

CK Q

0

Page 590: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : Considerations Temporelles

th

ts

CK

D STABLE

Page 591: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : Considerations Temporelles

th

ts

CK

D STABLE

ts est le temps de prepositionement (setup en anglais)

Page 592: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule D active sur front : Considerations Temporelles

th

ts

CK

D STABLE

ts est le temps de prepositionement (setup en anglais)

th est le temps de maintien (hold en anglais)

Page 593: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Vhdl : Bascule D active sur front

entity bascule is

port ( d, clk : in std_logic;

q : out std_logic );

end entity bascule;

architecture comport of bascule is

begin

stockage : process(d,clk) is

begin

if clk=’1’ and clk’event then

q <= d;

end if;

end process stockage;

end architecture comport;

Page 594: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule JK

Front Montant

Page 595: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule JK

Front Montant

J K H Qn+1 Qn+1

0 0 ↑ Qn Qn

0 1 ↑ 0 11 0 ↑ 1 0

1 1 ↑ Qn Qn

Page 596: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule JK

Front Montant

J K H Qn+1 Qn+1

0 0 ↑ Qn Qn

0 1 ↑ 0 11 0 ↑ 1 0

1 1 ↑ Qn Qn

Front Descendant

Page 597: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule JK

Front Montant

J K H Qn+1 Qn+1

0 0 ↑ Qn Qn

0 1 ↑ 0 11 0 ↑ 1 0

1 1 ↑ Qn Qn

Front Descendant

J K H Qn+1 Qn+1

0 0 ↓ Qn Qn

0 1 ↓ 0 11 0 ↓ 1 0

1 1 ↓ Qn Qn

Page 598: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Symbole Bascule JK

J Q

K Q

CK

Page 599: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule T

Front Montant

Page 600: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule T

Front Montant

T H Qn+1 Qn+1

0 ↑ Qn Qn

1 ↑ Qn Qn

Page 601: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule T

Front Montant

T H Qn+1 Qn+1

0 ↑ Qn Qn

1 ↑ Qn Qn

Front Descendant

Page 602: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

La bascule T

Front Montant

T H Qn+1 Qn+1

0 ↑ Qn Qn

1 ↑ Qn Qn

Front Descendant

T H Qn+1 Qn+1

0 ↓ Qn Qn

1 ↓ Qn Qn

Page 603: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Symbole Bascule JK

T Q

CK Q

Page 604: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

Necessite de forcer les sorties

Page 605: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

Necessite de forcer les sorties

Ajout d’entrees asynchrones

Page 606: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

Necessite de forcer les sorties

Ajout d’entrees asynchrones

RAU : Remise a Un ou entree Set en anglais

Page 607: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

Necessite de forcer les sorties

Ajout d’entrees asynchrones

RAU : Remise a Un ou entree Set en anglais

RAZ : Remise a Zero ou entree Reset en anglais

Page 608: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

D Q

CK Q

RAU

RAZ

Page 609: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

D H RAU RAZ Qn+1 Qn+1

X X 1 0 1 0X X 0 1 0 1O ↑ 0 0 0 11 ↑ 0 0 1 0

Page 610: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

D H RAU RAZ Qn+1 Qn+1

X X 1 0 1 0X X 0 1 0 1O ↑ 0 0 0 11 ↑ 0 0 1 0

Combinaison RAU=RAZ=1 interdite

Page 611: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

D H RAU RAZ Qn+1 Qn+1

X X 1 0 1 0X X 0 1 0 1O ↑ 0 0 0 11 ↑ 0 0 1 0

Combinaison RAU=RAZ=1 interdite

Entrees RAU et RAZ souvent actives a 0

Page 612: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Entrees Asynchrones

D Q

CK Q

RAU

RAZ

Page 613: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Et apres ?

Les registres

Page 614: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les registres

Taille des donnees 1 bits

Page 615: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les registres

Taille des donnees 1 bits

Associer des Bascules pour augmenter la taille

Page 616: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les registres

Taille des donnees 1 bits

Associer des Bascules pour augmenter la taille

Elements importants dans les micro-processeurs : permet derealiser un pipeline

Page 617: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les registres

Taille des donnees 1 bits

Associer des Bascules pour augmenter la taille

Elements importants dans les micro-processeurs : permet derealiser un pipeline

Realise des barrieres de synchronisation

Page 618: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Registre bascules DRegistre a Decalage

D Q

CK Q

D Q

CK Q

D Q

CK Q

D Q

CK Q

Page 619: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Registre bascules DRegistre a chargement parallele

D Q

CK Q

D Q

CK Q

D Q

CK Q

D Q

CK Q

D3 D2 D1 D0

Q1Q2Q3 Q0

Page 620: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Registre bascules JK

J Q

K Q

CK

J Q

K Q

CK

J Q

K Q

CK

J Q

K Q

CK

Page 621: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Vhdl : registre

entity reg8generic is

generic (N : natural := 8);

port ( d :in std_logic_vector(N-1 downto 0);

clk : in std_logic;

q: out std_logic_vector(N-1 downto 0) );

end entity reg8generic;

architecture comport of reg8generic is

begin

stockage : process(clk,d) is

begin

if (clk=’1’ and clk’event) then

q <= d;

end if;

end process stockage;

end architecture comport;

Page 622: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les monostables

Page 623: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

Circuit ne possedant qu’un etat stable

Page 624: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

Circuit ne possedant qu’un etat stable

Souvent :

Page 625: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

Circuit ne possedant qu’un etat stable

Souvent :

Q Q Etat

0 1 Stable1 0 Quasi Stable

Page 626: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

Circuit ne possedant qu’un etat stable

Souvent :

Q Q Etat

0 1 Stable1 0 Quasi Stable

Etat Quasi Stable est momentane

Page 627: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

Circuit ne possedant qu’un etat stable

Souvent :

Q Q Etat

0 1 Stable1 0 Quasi Stable

Etat Quasi Stable est momentane

Duree Quasi Stable fixee par circuit RC

Page 628: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

T

Q

Q

RC

τ=RC

Page 629: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable simple

τ=f(RC)

τ=f(RC)

τ=f(RC) τ=f(RC)

T

Q

Page 630: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable redeclencheable

Monostable Simple : Duree Etat Quasi Stable Fixe

Page 631: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable redeclencheable

Monostable Simple : Duree Etat Quasi Stable Fixe

τ=f(RC) τ=f(RC) τ=f(RC)

T

Q

Page 632: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable redeclencheable

Monostable Simple : Duree Etat Quasi Stable Fixe

τ=f(RC) τ=f(RC) τ=f(RC)

T

Q

Necessite de pouvoir rester Quasi Stable

Page 633: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Monostable redeclencheable

Monostable Simple : Duree Etat Quasi Stable Fixe

τ=f(RC) τ=f(RC) τ=f(RC)

T

Q

Necessite de pouvoir rester Quasi Stable

τ=f(RC)2τ=2f(RC)

τ=f(RC)

T

Q

Page 634: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Page 635: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Page 636: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit

Page 637: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Page 638: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution :

Page 639: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution : multiplexeur

Page 640: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution : multiplexeur encombrant

Page 641: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution : multiplexeur encombrant

Troisieme Solution : Composant d’interface

Page 642: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution : multiplexeur encombrant

Troisieme Solution : Composant d’interface La porte 3 etats

Page 643: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Boole = 2 etats : 0 et 1

Connexion de 2 composants sur le meme fil ?

Premiere Solution : Court Circuit PERDU

Seconde Solution : multiplexeur encombrant

Troisieme Solution : Composant d’interface La porte 3 etatsGAGNE

Page 644: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Introduction d’un Etat Z

Page 645: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Introduction d’un Etat Z

Etat Haute Impedance

Page 646: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Introduction d’un Etat Z

Etat Haute Impedance

Tout ce passe comme si le fil n’etait pas connecte

Page 647: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les portes 3 etats

Introduction d’un Etat Z

Etat Haute Impedance

Tout ce passe comme si le fil n’etait pas connecte

Page 648: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Vhdl : registre

entity reg8generic is

generic (N : natural := 8);

port ( d :in std_logic_vector(N-1 downto 0);

en, clk : in std_logic;

q: out std_logic_vector(N-1 downto 0) );

end entity reg8generic;

architecture comport of reg8generic is

begin

stockage : process(d,en,clk) is

begin

if (clk=’1’ and clk’event) then

if en = ’1’ then

q <= d;

else

q<= (others=>’Z’);

end if;

end if;

end process stockage;

end architecture comport;

Page 649: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels Complexes

Systemes Sequentiels

Page 650: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Page 651: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Les memoires

Page 652: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Les memoires

Les compteurs

Page 653: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Les memoires

Les compteurs

Le controle (les pipelines)

Page 654: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Les memoires

Les compteurs

Le controle (les pipelines)

Les Machines a Etats (Cours Synthese des SystemesNumeriques)

Page 655: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Systemes Sequentiels

Les registres (Deja vus)

Les memoires

Les compteurs

Le controle (les pipelines)

Les Machines a Etats (Cours Synthese des SystemesNumeriques)

What Else ?

Page 656: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Association de plusieurs Registres

Page 657: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Association de plusieurs Registres

Utilisation de Bascules D souvent

Page 658: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Association de plusieurs Registres

Utilisation de Bascules D souvent

Memoires Asychrones ⇒ Bascules Asynchrones

Page 659: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Association de plusieurs Registres

Utilisation de Bascules D souvent

Memoires Asychrones ⇒ Bascules Asynchrones

Memoires Synchrones ⇒ Bascules Synchrones

Page 660: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Association de plusieurs Registres

Utilisation de Bascules D souvent

Memoires Asychrones ⇒ Bascules Asynchrones

Memoires Synchrones ⇒ Bascules Synchrones

Ajout d’une entree de selection

Page 661: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Selection ⇒ sortie 3 etats

D Q

CK Q

Page 662: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Selection ⇒ sortie 3 etats

D Q

CK Q

Enable

Page 663: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Selection ⇒ sortie 3 etats

D Q

CK Q

Enable

Page 664: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Memoire 2 mots de 2 bits

Page 665: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les memoires

Memoire 2 mots de 2 bits

D Q

CK Q

ED Q

CK Q

E

D Q

CK Q

ED Q

CK Q

E

Adresse

DonnéeEntrante

Horloge

DonnéeSortante

22

Page 666: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Page 667: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Page 668: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Page 669: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en boucle

Page 670: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) bascules

Page 671: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) basculesComplexes

Page 672: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) basculesComplexes

Initialisation

Page 673: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) basculesComplexes

InitialisationArret - Reprise

Page 674: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) basculesComplexes

InitialisationArret - RepriseCompteur - Decompteur

Page 675: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Compteurs

Systeme sequentiel dont les sorties sont une suitepre-determinee d’etats

Bascules D ou JK

Plus ou Moins Complexes

Simple (Bete) compte de 0 a N − 1 en bouclelog2(N) basculesComplexes

InitialisationArret - RepriseCompteur - DecompteurFonctions necessaires a l’application

Page 676: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le controle

Controle du flux des donnees

Page 677: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le controle

Controle du flux des donnees

Un registre entre 2 operateurs

Page 678: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le controle

Controle du flux des donnees

Un registre entre 2 operateurs

Realisation d’un pipeline

Page 679: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le controle

Controle du flux des donnees

Un registre entre 2 operateurs

Realisation d’un pipeline

Additionneur Multiplieur

Reg

istr

e

A

B

S

Reg

istr

e

Page 680: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Le controle

Controle du flux des donnees

Un registre entre 2 operateurs

Realisation d’un pipeline

Additionneur Multiplieur

Reg

istr

e

A

B

S

Reg

istr

e

Sn = (An−1 + Bn−1) ∗ Bn

Page 681: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Logique Sequentielle

Les Aleas

D Q

CK Q

S

Page 682: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Le pipeline

Pipeline

Page 683: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Le pipeline

Pipeline

Page 684: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Le pipeline

Pipeline

Page 685: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Le pipeline

Pipeline

Principe :

Decouper l’operateur en plusieurs etages isoles les uns des autres.C’est le signal d’horloge qui autorise les transfert des donnees d’unetage a l’autre. Cette technique permet d’accelerer la cadence deproduction des resultats.

Latence

La latence (duree d’execution totale) de l’operateur est superieured’au moins ǫ a celle d’un operateur non pipelinee. Si la dureemaximale d’un etage de l’operateur pipeline est de tmax et qu’il y an etages, alors la latence de l’operateur est T = n ∗ tCLK avectc lk > tmax + th + ts avec ts et th les temps de prepositionnementet de maintien des bascules.

Page 686: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

References

Conception des ASICS - P. Naish et P. Bishop - Masson

Page 687: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

References

Conception des ASICS - P. Naish et P. Bishop - Masson

Logic Reference Guide 4Q2001 - Texas Instrument -http://www.ti.com

Page 688: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

References

Conception des ASICS - P. Naish et P. Bishop - Masson

Logic Reference Guide 4Q2001 - Texas Instrument -http://www.ti.com

Des Liens Utiles pour tous les Electroniciens -http://perso.wanadoo.fr/xcotton/electron/index.htm

Page 689: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Vocabulaire

Quelques grandeurs caracteristiques

Page 690: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Vocabulaire

Quelques grandeurs caracteristiques

F Frequence de Fonctionnement

Page 691: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Vocabulaire

Quelques grandeurs caracteristiques

F Frequence de Fonctionnement

P Puissance Dissipee

Page 692: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Vocabulaire

Quelques grandeurs caracteristiques

F Frequence de Fonctionnement

P Puissance Dissipee

Tp Temps de Propagation

Page 693: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Vocabulaire

Quelques grandeurs caracteristiques

F Frequence de Fonctionnement

P Puissance Dissipee

Tp Temps de Propagation

Sortance : nombre maximal d’entrees qui peuvent etrepilotees par une sortie.

Page 694: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

Page 695: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

SSI: Small Scale Integration < 12 portes logiques

Page 696: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

SSI: Small Scale Integration < 12 portes logiques

MSI: Mean Scale Integration de 12 a 99 portes logiques

Page 697: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

SSI: Small Scale Integration < 12 portes logiques

MSI: Mean Scale Integration de 12 a 99 portes logiques

LSI: Large Scale Integration de 100 a 9999 portes logiques

Page 698: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

SSI: Small Scale Integration < 12 portes logiques

MSI: Mean Scale Integration de 12 a 99 portes logiques

LSI: Large Scale Integration de 100 a 9999 portes logiques

VLSI: Very Large Scale Integration de 10000 a 99999 porteslogiques

Page 699: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Integration ?

Plusieurs niveaux d’integration

SSI: Small Scale Integration < 12 portes logiques

MSI: Mean Scale Integration de 12 a 99 portes logiques

LSI: Large Scale Integration de 100 a 9999 portes logiques

VLSI: Very Large Scale Integration de 10000 a 99999 porteslogiques

ULSI: Ultra Large Scale Integration 100000 et plus de porteslogiques

Page 700: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 1

Comment realiser des fonctions logiques ?

Page 701: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 1

Comment realiser des fonctions logiques ?

Necessite de composants commandes electriquement

Page 702: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 1

Comment realiser des fonctions logiques ?

Necessite de composants commandes electriquement

Les Diodes (Famille DTL)

Page 703: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 1

Comment realiser des fonctions logiques ?

Necessite de composants commandes electriquement

Les Diodes (Famille DTL)Vdd = 5V

A

B

Vss = 0V

S

Page 704: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 1

Comment realiser des fonctions logiques ?

Necessite de composants commandes electriquement

Les Diodes (Famille DTL)Vdd = 5V

A

B

Vss = 0V

S

Les Transistors

Page 705: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 2

La logique Bi-polaire

Page 706: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 2

La logique Bi-polaire

Famille originelle des transistors

Page 707: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 2

La logique Bi-polaire

Famille originelle des transistors

Bipolaire=Rapidite

Page 708: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Technologie - 2

La logique Bi-polaire

Famille originelle des transistors

Bipolaire=Rapidite

Bipolaire=Consommation

Page 709: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Bipolaire

La Famille TTL : Transistor - Transistor - Logic

Page 710: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Bipolaire

La Famille TTL : Transistor - Transistor - LogicVdd = 5V

Vss = 0V

AB S

R1 R2 R4

R3

Page 711: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Page 712: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Page 713: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Tous les fabricants utilisent cette reference

Page 714: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Tous les fabricants utilisent cette reference

Compatibilite des circuits entre eux

Page 715: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Tous les fabricants utilisent cette reference

Compatibilite des circuits entre eux

Tension d’alimentation : 0-5V

Page 716: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Tous les fabricants utilisent cette reference

Compatibilite des circuits entre eux

Tension d’alimentation : 0-5V

1 logique : Vih > 2V

Page 717: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 1

Historique : premiere famille : 1964 - Texas Instrument -Famille 54/74

Famille 74 : Famille de reference

Tous les fabricants utilisent cette reference

Compatibilite des circuits entre eux

Tension d’alimentation : 0-5V

1 logique : Vih > 2V

0 logique : Vil < 0, 8V

Page 718: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

Page 719: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

74L : Famille Faible consommation

Page 720: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

74L : Famille Faible consommation

74H : Famille rapide

Page 721: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

74L : Famille Faible consommation

74H : Famille rapide

74S : Famille rapide basee sur des Diodes Schottky

Page 722: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

74L : Famille Faible consommation

74H : Famille rapide

74S : Famille rapide basee sur des Diodes Schottky

74LS : Famille Schottky Faible consommation

Page 723: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 2

Plusieurs Variantes de la famille 74

74L : Famille Faible consommation

74H : Famille rapide

74S : Famille rapide basee sur des Diodes Schottky

74LS : Famille Schottky Faible consommation

74AS et 74 ALS : Famille Avancee Schottky

Page 724: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns)P (mW)Fmax (MHz)Sortance

Page 725: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9P (mW) 10Fmax (MHz) 35Sortance 10

Page 726: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9 33P (mW) 10 1Fmax (MHz) 35 3Sortance 10 20

Page 727: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9 33 3P (mW) 10 1 20Fmax (MHz) 35 3 125Sortance 10 20 20

Page 728: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9 33 3 9,5P (mW) 10 1 20 2Fmax (MHz) 35 3 125 45Sortance 10 20 20 20

Page 729: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9 33 3 9,5 4P (mW) 10 1 20 2 1,2Fmax (MHz) 35 3 125 45 70Sortance 10 20 20 20 20

Page 730: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

T.T.L 3

74 74L 74S 74LS 74ALStp (ns) 9 33 3 9,5 4P (mW) 10 1 20 2 1,2Fmax (MHz) 35 3 125 45 70 (200 pour AS)Sortance 10 20 20 20 20 (40 pour AS)

Page 731: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Bipolaire

Il existe d’autres technologies bipolaire pour le numerique

Page 732: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Bipolaire

Il existe d’autres technologies bipolaire pour le numerique

ECL : Emitter Coupled Logic - Plus Rapide et Plus chere

Page 733: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Bipolaire

Il existe d’autres technologies bipolaire pour le numerique

ECL : Emitter Coupled Logic - Plus Rapide et Plus chere

I2L : Utilise dans des circuits logiques complexes

Page 734: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Maintenant et Demain

Quelle technologie aujourd’hui et demain ?

Page 735: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Maintenant et Demain

Quelle technologie aujourd’hui et demain ?

Hasardeux de predire l’avenir

Page 736: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Maintenant et Demain

Quelle technologie aujourd’hui et demain ?

Hasardeux de predire l’avenir

Mais ...

Page 737: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Maintenant et Demain

Quelle technologie aujourd’hui et demain ?

Hasardeux de predire l’avenir

Mais ...

Previsions Texas Instruments

Page 738: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Maintenant et Demain

Quelle technologie aujourd’hui et demain ?

Hasardeux de predire l’avenir

Mais ...

Previsions Texas InstrumentsPRODUCT LIFE CYCLE

Introduction

GTLP

AUC

AV C

AHC ALVC

CBT LV

LVCLVT

GTL

ABTFCT

LS

S

TTL

AC BCTHC

ALS

F

AS

CD4000

FB+

ALVT

CBTLV

Little Logic

Growth Maturity Decline Obsolescence

Bipolar

CMOS

BiCMOS

Page 739: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Page 740: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Faible Cout

Page 741: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Faible Cout

Facilite de fabrication

Page 742: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Faible Cout

Facilite de fabrication

Petite Dimension, jusqu’a un rapport 50 par rapport aubipolaire

Page 743: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Faible Cout

Facilite de fabrication

Petite Dimension, jusqu’a un rapport 50 par rapport aubipolaire

Pas d’element resistif

Page 744: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS

Avantage du CMOS

Faible Cout

Facilite de fabrication

Petite Dimension, jusqu’a un rapport 50 par rapport aubipolaire

Pas d’element resistif

Faible consommation originale

Page 745: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

Page 746: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

le PMOS

Page 747: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

le PMOS le NMOS

Page 748: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

le PMOS le NMOS

Mise en oeuvre de charges mobiles de polarite complementaire=⇒ ComplementaryMOS

Page 749: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

le PMOS le NMOS

Mise en oeuvre de charges mobiles de polarite complementaire=⇒ ComplementaryMOS

NMOS conduit si Vg > Vs de plus de VT

Page 750: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 1

Il existe deux types de transistors dans le CMOS

le PMOS le NMOS

Mise en oeuvre de charges mobiles de polarite complementaire=⇒ ComplementaryMOS

NMOS conduit si Vg > Vs de plus de VT

PMOS conduit si Vg < Vs de plus de VT

Page 751: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 2

Numerique =⇒ Fonctionnement en mode interrupteur

Page 752: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 2

Numerique =⇒ Fonctionnement en mode interrupteur

NMOS Vg = 0 =⇒ transistor bloque, interrupteur ouvert

Page 753: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 2

Numerique =⇒ Fonctionnement en mode interrupteur

NMOS Vg = 0 =⇒ transistor bloque, interrupteur ouvert

NMOS Vg = Vdd =⇒ transistor passant, interrupteur ferme

Page 754: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 2

Numerique =⇒ Fonctionnement en mode interrupteur

NMOS Vg = 0 =⇒ transistor bloque, interrupteur ouvert

NMOS Vg = Vdd =⇒ transistor passant, interrupteur ferme

PMOS Vg = 0 =⇒ transistor passant, interrupteur ferme

Page 755: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Principe de Base du CMOS - 2

Numerique =⇒ Fonctionnement en mode interrupteur

NMOS Vg = 0 =⇒ transistor bloque, interrupteur ouvert

NMOS Vg = Vdd =⇒ transistor passant, interrupteur ferme

PMOS Vg = 0 =⇒ transistor passant, interrupteur ferme

PMOS Vg = Vdd =⇒ transistor bloque, interrupteur ouvert

Page 756: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurRealisation

1

Page 757: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurRealisation

Vdd

Vss

Page 758: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurRealisation

Vdd

Vss

Page 759: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurRealisation

Vdd

Vss

A

Page 760: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurModelisation

Vdd

Vss

1 0

Page 761: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Utilisation du CMOS - l’InverseurModelisation

Vdd

Vss

0 1

Page 762: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Caracteristique d’un inverseur CMOSCourbe de Transfert

Vout

Vin0

Vdd

Vdd

Page 763: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Caracteristique d’un inverseur CMOSCourant Consomme

0 Vdd

Ic

Imax

Page 764: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Schema Equivalent d’un inverseur CMOS

Vdd = 5V

Vss = 0v

in

out

Page 765: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Schema Equivalent d’un inverseur CMOS

Vdd = 5V

Vss = 0v

in

out

Modele coherent jusqu’a 20 MHz

Page 766: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Schema Equivalent d’un inverseur CMOS

Vdd = 5V

Vss = 0v

in

out

Modele coherent jusqu’a 20 MHz

Permet de modeliser la sortance d’une porte

Page 767: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

&

Page 768: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

Page 769: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

A

B

S

Vdd

Vss

Page 770: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

A

B

S

PMOS Parallèle

NMOS Série

Vdd

Vss

Page 771: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

1

1

0

PMOS Parallèle

NMOS Série

Vdd

Vss

Page 772: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

0

0

1

PMOS Parallèle

NMOS Série

Vdd

Vss

Page 773: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

0

1

1

PMOS Parallèle

NMOS Série

Vdd

Vss

Page 774: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-ET

1

0

1

PMOS Parallèle

NMOS Série

Vdd

Vss

Page 775: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-OU

1

Page 776: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-OU

Page 777: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-OU

A

BS

Vdd

Vss

Page 778: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

La complementarite - La porte Non-OU

A

BS

Vdd

Vss

PMOS Série

NMOS Parallèle

Page 779: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS - limites ?

P ≡ V 2dd ∗ C ∗ F ou C est la capacite totale du circuit

Page 780: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS - limites ?

P ≡ V 2dd ∗ C ∗ F ou C est la capacite totale du circuit

Du a la commutation

Page 781: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS - limites ?

P ≡ V 2dd ∗ C ∗ F ou C est la capacite totale du circuit

Du a la commutation

A technologie constante, le CMOS finit par consommer plusque le bipolaire si F ou C augmente

Page 782: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS - limites ?

P ≡ V 2dd ∗ C ∗ F ou C est la capacite totale du circuit

Du a la commutation

A technologie constante, le CMOS finit par consommer plusque le bipolaire si F ou C augmente

Baisser la tension d’alimentation

Page 783: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

CMOS - limites ?

P ≡ V 2dd ∗ C ∗ F ou C est la capacite totale du circuit

Du a la commutation

A technologie constante, le CMOS finit par consommer plusque le bipolaire si F ou C augmente

Baisser la tension d’alimentation

Modifier les procedes technologiques de fabrication de CI.

Page 784: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Famille CMOS

Plusieurs familles CMOS

Page 785: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Famille CMOS

Plusieurs familles CMOS74HC : Compatibilite TTL

Page 786: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Famille CMOS

Page 787: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Technologie de circuits numeriques

Famille CMOS

Page 788: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

References

Michel Hubin -http://perso.wanadoo.fr/michel.hubin/physique/elec/chap can1.htm

Page 789: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Page 790: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Le monde numerique est un monde discretise

Page 791: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Page 792: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Page 793: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Comment Interfacer les 2 mondes ?

Page 794: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Le monde numerique est un monde discretise

Le monde reel est un monde continu

Le monde n’est pas numerique

Comment Interfacer les 2 mondes ?

A travers des Capteurs

Page 795: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Page 796: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Capteur = Fonction de conversion du Monde en grandeurelectrique

Page 797: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Capteur = Fonction de conversion du Monde en grandeurelectrique

Capteur renvoie une Valeur Analogique

Page 798: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Capteur = Fonction de conversion du Monde en grandeurelectrique

Capteur renvoie une Valeur Analogique

Necessite d’une fonction de conversion Analogique -Numerique

Page 799: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Capteur = Fonction de conversion du Monde en grandeurelectrique

Capteur renvoie une Valeur Analogique

Necessite d’une fonction de conversion Analogique -Numerique

Conversion Analogique Numerique : CAN

Page 800: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Capteur = Fonction de conversion du Monde en grandeurelectrique

Capteur renvoie une Valeur Analogique

Necessite d’une fonction de conversion Analogique -Numerique

Conversion Analogique Numerique : CAN

Conversion Numerique Analogique : CNA

Page 801: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

Communication

Système de Traitement

Numérique

N bits

CNA

CAN

M bits

GrandeurPhysique

Action

neur

Capteur

GrandeurElectrique

Page 802: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

La conversion analogique numerique consiste a transformer unegrandeur electrique representee par un signal en une grandeurnumerique exprimee sur N bits apres echantillonage etquantification du signal. Cette valeur est une valeur codeerepresentant un nombre proportionnel a la grandeur electrique.

Page 803: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Echantillonage : prise periodique de valeur du signal,attention a Shannon Fe > 2 ∗ Fsignal

Page 804: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Echantillonage : prise periodique de valeur du signal,attention a Shannon Fe > 2 ∗ Fsignal

Quantification : association d’une mesure a la valeurechantillonnee, c’est une fonction de memorisation.

Page 805: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Echantillonage : prise periodique de valeur du signal,attention a Shannon Fe > 2 ∗ Fsignal

Quantification : association d’une mesure a la valeurechantillonnee, c’est une fonction de memorisation.

Pour le traitement Echantillonage/Quantification on parleaussi d’echantillonnage-blocage oud’echantillonage-memorisation.

Page 806: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Echantillonage : prise periodique de valeur du signal,attention a Shannon Fe > 2 ∗ Fsignal

Quantification : association d’une mesure a la valeurechantillonnee, c’est une fonction de memorisation.

Pour le traitement Echantillonage/Quantification on parleaussi d’echantillonnage-blocage oud’echantillonage-memorisation.

Codage : representation de la valeur quantifiee dans unalphabet interpretable par un circuit numerique

Page 807: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Signal continuSignal échantillonnéSignal quantifié

Page 808: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Signal continuSignal échantillonnéSignal quantifié

Page 809: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Signal continuSignal échantillonnéSignal quantifié

Page 810: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Definitions

Signal continuSignal échantillonnéSignal quantifié

Page 811: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Caracteristiques

Resolution : Amplitude de la plus petite variation. Correspondau LSB (Least Significant Bit)

Page 812: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Caracteristiques

Resolution : Amplitude de la plus petite variation. Correspondau LSB (Least Significant Bit)

Temps de conversion : Temps de stabilisation de la donnee ensortie

Page 813: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Caracteristiques

Resolution : Amplitude de la plus petite variation. Correspondau LSB (Least Significant Bit)

Temps de conversion : Temps de stabilisation de la donnee ensortie

Erreur de Quantification : Incertitude du a la conversion

Page 814: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Caracteristiques

Resolution : Amplitude de la plus petite variation. Correspondau LSB (Least Significant Bit)

Temps de conversion : Temps de stabilisation de la donnee ensortie

Erreur de Quantification : Incertitude du a la conversion

Pleine Echelle : Etendue de la grandeur Analogique d’entree

Page 815: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

Page 816: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

La conversion a rampe

Page 817: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

La conversion a rampe

La conversion a double rampe

Page 818: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

La conversion a rampe

La conversion a double rampe

La conversion a approximation successive

Page 819: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

La conversion a rampe

La conversion a double rampe

La conversion a approximation successive

La conversion Flash

Page 820: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Types

Il exite differents type de conversion

La conversion a rampe

La conversion a double rampe

La conversion a approximation successive

La conversion Flash

La conversion Sigma-Delta

Page 821: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a rampe

-

+ Compteur

Horloge

Vin

Controle

Raz

N

Page 822: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a rampe

Phase 1 : Vc , tension aux bornes de C mis a 0 ainsi que N

Page 823: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a rampe

Phase 1 : Vc , tension aux bornes de C mis a 0 ainsi que N

Phase 2 : Integration aux bornes de C ,Vc = 1C

∑Idt = I

Ct

tant que Vin > Vc le compteur est incremente

Page 824: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a rampe

Phase 1 : Vc , tension aux bornes de C mis a 0 ainsi que N

Phase 2 : Integration aux bornes de C ,Vc = 1C

∑Idt = I

Ct

tant que Vin > Vc le compteur est incremente

Vin = Vc le comparateur passe de 1 a 0 et bloque le compteursur la valeur N correspondant au nombre binaire recherche

Page 825: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a rampe numerique

Utilisation d’un CNA pour generer une rampe numerique.

-

+ Compteur

Horloge

Vin

Controle

Raz

N

CNA

Page 826: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion double rampe

-

+Compteur

Vin

Controle

Raz

N

-

+Vref

R

C

Page 827: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion double rampe

Phase 1 : Connexion du montage sur Vin. Chargement de Cpendant un temps fixe T0

Page 828: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion double rampe

Phase 1 : Connexion du montage sur Vin. Chargement de Cpendant un temps fixe T0

Phase 2 : Connexion du montage sur Vref , de polarite inversea Vin. Dechargement de C jusqu’a 0. Durant ce temps onincremente le compteur jusqu’a N. N est la valeur binairerecherchee.

Page 829: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

-

+Registre

Horloge

Vin

Controle

N

CNA

Page 830: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Determination des valeurs de bits de N les unes apres lesautres en commencant par le bit de poids fort

Page 831: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Determination des valeurs de bits de N les unes apres lesautres en commencant par le bit de poids fort

On fixe le bit de poids fort a 1 et les autres a 0. ConversionNA du registre et comparaison a Vin

Page 832: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Determination des valeurs de bits de N les unes apres lesautres en commencant par le bit de poids fort

On fixe le bit de poids fort a 1 et les autres a 0. ConversionNA du registre et comparaison a Vin

Si Vin est plus grand alors le bit reste a 1 sinon il passe a 0.

Page 833: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Determination des valeurs de bits de N les unes apres lesautres en commencant par le bit de poids fort

On fixe le bit de poids fort a 1 et les autres a 0. ConversionNA du registre et comparaison a Vin

Si Vin est plus grand alors le bit reste a 1 sinon il passe a 0.

On garde la valeur du bit de poids fort et on passe au bitsuivant

Page 834: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Determination des valeurs de bits de N les unes apres lesautres en commencant par le bit de poids fort

On fixe le bit de poids fort a 1 et les autres a 0. ConversionNA du registre et comparaison a Vin

Si Vin est plus grand alors le bit reste a 1 sinon il passe a 0.

On garde la valeur du bit de poids fort et on passe au bitsuivant

On rerete le meme traitement que precedemment pour ce bitet ainsi de suite jusqu’au bit de poids faible.

Page 835: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Page 836: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

Page 837: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

Page 838: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

Page 839: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

Page 840: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

Page 841: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

10111000 = 7, 1875V > 6, 92 → B3 = 0

Page 842: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

10111000 = 7, 1875V > 6, 92 → B3 = 0

10110100 = 7, 03125V > 6, 92 → B2 = 0

Page 843: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

10111000 = 7, 1875V > 6, 92 → B3 = 0

10110100 = 7, 03125V > 6, 92 → B2 = 0

10110010 = 6, 95312V > 6, 92 → B1 = 0

Page 844: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

10111000 = 7, 1875V > 6, 92 → B3 = 0

10110100 = 7, 03125V > 6, 92 → B2 = 0

10110010 = 6, 95312V > 6, 92 → B1 = 0

10110001 = 6, 91406V < 6, 92 → B0 = 1

Page 845: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion a approximations successives

Exemple : Convertisseur 8 bits, Vref =10 V

Tension a convertir 6,92 V

10000000 = 5V < 6, 92 → B7 = 1

11000000 = 7, 5V > 6, 92 → B6 = 0

10100000 = 6, 25V < 6, 92 → B5 = 1

10110000 = 6, 675V < 6, 92 → B4 = 1

10111000 = 7, 1875V > 6, 92 → B3 = 0

10110100 = 7, 03125V > 6, 92 → B2 = 0

10110010 = 6, 95312V > 6, 92 → B1 = 0

10110001 = 6, 91406V < 6, 92 → B0 = 1

Valeur Numerique :10110001

Page 846: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash

-

+

-

+

-

+

Vref Vin

R1

R2

R3

R4

S1

S0

Codeur

-

+

-

+

-

+

-

+

R4

R4

R4

R4

S2

Page 847: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash

Flash = Parallele

Page 848: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash

Flash = Parallele

Page 849: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash

Flash = Parallele

Principe : Comparer Vin a un ensemble de tensions predefinie

Page 850: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash

Flash = Parallele

Principe : Comparer Vin a un ensemble de tensions predefinie

Utiliser un codeur pour generer le nombre binaire

Page 851: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash : Exemple

-

+

-

+

-

+

10V Vin

3k

1k

1k

1k

S1

S0C

od

eu

r-

+

-

+

-

+

-

+

1k

1k

1k

1k

S2

C1

C2

C3

C4

C5

C6

C77V

6V

5V

4V

3V

2V

1V

Page 852: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Flash : Exemple

Vin C1 C2 C3 C4 C5 C6 C7 S2 S1 S0

< 1 1 1 1 1 1 1 1 0 0 0>1, <2 0 1 1 1 1 1 1 0 0 1>2, <3 0 0 1 1 1 1 1 0 1 0>3, <4 0 0 0 1 1 1 1 0 1 1>4, <5 0 0 0 0 1 1 1 1 0 0>5, <6 0 0 0 0 0 1 1 1 0 1>6, <7 0 0 0 0 0 0 1 1 1 0>7 0 0 0 0 0 0 0 1 1 1

Page 853: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

La conversion Sigma-Delta

Convertisseur Sigma-Delta : peut etre vu comme un convertisseurdouble rampe en commutation continue pour maintenir la chargeintegree nulle en moyenne.

Page 854: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CAN : Comparaison

Type Vitesse Erreur Resolution

Simple Faible Elevee Moyenne a eleveeRampe (ms) Elevee (7 a 14 bits)

Double Faible Faible EleveeRampe (ms) Faible (10 a 18 bits)

Appro- Moyenne Moyenne Moyenne a elevee-ximation ( ≈ 10µs) Moyenne (8 a 6 bits)

Flash Elevee Moyenne Faible a Moyenne(ns,µs) Moyenne (4 a 10 bits)

Page 855: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Types

Il existe differents type de Conversion Numerique Analogique

Page 856: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Types

Il existe differents type de Conversion Numerique Analogique

Resistances Poids Proportionnels

Page 857: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Types

Il existe differents type de Conversion Numerique Analogique

Resistances Poids Proportionnels

Reseau R2R

Page 858: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Resistances Poids Proportionnels

2R

4R

8R

2 RN

Vref-

+

a1

a2

a3

aN

Page 859: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Resistances R2R

Page 860: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Resistances R2R

Page 861: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Resistances R2R

Page 862: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Resistances R2R

Page 863: Cours d’´electronique num´eriquebertrand.granado.free.fr/Licence/UE201/coursbeameranime.pdf · Cours d’´electronique num´erique Cours d’´electronique num´erique Aur´elie

Cours d’electronique numerique

Conversion Analogique-Numerique - Numerique-Analogique

CNA : Comparaison

Type Vitesse Erreur Resolution

Poids Ponderes Elevee (µs) Elevee FaibleR2R Elevee (µs) Faible Elevee