Chapitre 4 : Implémentation et résultat de...

52
ﺰﺍﺮﺓ ﺍﻠﺗﻌﻠﻳﻢ ﺍﻠﻌﺎﻠﻲ ﻮﺍ لبحث ﺍلﻌﻠمﻲAnnée : 2016 Faculté: Sciences de l’Ingéniorat Département: Electronique MEMOIRE Présenté en vue de l’obtention du diplôme de : MASTER Domaine : Sciences et Techniques Filière : Télécommunications Spécialité: Télécommunications Avancées Par : DEVANT Le JURY Président : SAOUCHI.K M.C.A U.ANNABA Directeur de mémoire: TOUMI Salah Professeur U.ANNABA Examinateur : SAHRI Abderezak M.A.C.C u.ANNABA جﺎمﻌة بﺎجﻲ مخﺗﺎر- عنﺎبـةBADJI MOKHTAR- ANNABA UNIVERSITY UNIVERSITE BADJI MOKHTAR ANNABA

Transcript of Chapitre 4 : Implémentation et résultat de...

Page 1: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

لبحث‌العلميوا‌العالي‌التعليم‌‌‌‌‌‌‌زارةو

Année : 2016

Faculté: Sciences de l’Ingéniorat

Département: Electronique

MEMOIRE

Présenté en vue de l’obtention du diplôme de : MASTER

Domaine : Sciences et Techniques

Filière : Télécommunications

Spécialité: Télécommunications Avancées

Par :

DEVANT Le JURY

Président : SAOUCHI.K M.C.A U.ANNABA

Directeur de mémoire: TOUMI Salah Professeur U.ANNABA

Examinateur : SAHRI Abderezak M.A.C.C u.ANNABA

عنابـة‌-جامعة‌باجي‌مختار BADJI MOKHTAR- ANNABA UNIVERSITY

UNIVERSITE BADJI MOKHTAR ANNABA

Page 2: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

Je veux tout d’abord à remercier de nous avoir donné force, santé

courage et volonté pour accomplir ce travail.

Je profite de cette occasion pour remercier tous ceux qui m’ont aidé à réaliser

ce projet dans les meilleures conditions.

Je tiens à exprimer mes vifs remerciements à mon encadreur,

Toute ma gratitude va également à monsieur chercheur au

laboratoire LERICA et enseignant à l’Université de Djelfa son dynamisme, sa

patience et ses qualités humaines sont une source permanente durant ce

travail.

Enfin, je tiens à exprimer ma gratitude aux membres de jury qui ont accepté de

juger ce travail.

Page 3: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

Dédicace

Je dédie ce modeste travail à:

A la mémoire de Maman Puisse Dieu, le tout puissant, L’avoir en sa sainte miséricorde

A Mon cher Père,

A mon cadeau du ciel ; A ma sœur Chaima

Mes chères Sœurs : Zina-Cherifa-Nada-Maya

Mon cher frère, MEHDI

Mes chères cousines, Amani-Loubna-Imen-

Maroua-Nour

A mes amis A ma famille

Ceux qui m'aiment, Ceux que j'aime,

A tous ceux qui me tiennent à cœur.

Page 4: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

في البدايت تكلونا (FPGA)هبزهج علً هستىي الدارة الوبزهجت ,( OFDMهذا العول يقدم هفهىم تقنيت النظام الوتعدد الحىاهل )

اها في الباب االخيز تناولنا عولنا في xilinxثن االدواث والبزاهج الالسهت لتنفيذ العول وهي OFDMعلً كيفيت عول نظام

.FPGAعلً هستىي الدارة بزهجت هذا النظام

(FPGA)الدارة الوبزهجت (OFDMتقنيت النظام الوتعدد الحىاهل ):الكلمات المفتاحية

Ce travail présente l’implémentation d’un modulateur OFDM implémenté sur FPGA.

Nous avons tout d’abord abordé la théorie sur l’OFDM, après nous avons présenté les outils

de conception à savoir l’ISE et Modelsim. Et enfin nous avons implémenté notre modulateur

OFDM. Nous avons

effectué une mise au point de l’architecture du modulateur et les étapes nécessaires à sa

validation,

telles que la synthèse, la simulation, le Routage et le placement.

Mots clés : OFDM, FPGA, ISE, VHDL, Synthèse..

In this work we present a design of an OFDM modulator implemented on FPGA. We first

present the background around OFDM, then a presentation on the design on FPGA, so we talk

about

ISE, and MoedelSim. At the end we present an architecture that we try to implement. In this

way we

present the architecture validation by synthesis, simulation.

Keywords: OFDM, FPGA, ISE, VHDL, and Synthesis.

Page 5: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

Table des matières

Introduction générale ................................................................................... 1

Chapitre 1 : Présentation des systèmes OFDM ............................................ 4

1. Introduction : ............................................................................................................................... 4

2. Histoire de l’OFDM .................................................................................................................... 4

3. Domaines d’application de l’OFDM: ......................................................................................... 5

4. Caractéristiques du canal ............................................................................................................. 6

5. Présentation de la norme IEEE 802.16 : ...................................................................................... 7

6. Avantages et inconvénients de l’OFDM : ................................................................................... 8

7. Conclusion ................................................................................................................................... 8

Chapitre 2 : la structure d’un modulateur et démodulateur OFDM ................... 10

1. Introduction ............................................................................................................................... 10

2. Fondements de systèmes OFDM ............................................................................................... 10

3. Orthogonalité ............................................................................................................................ 12

4. Chaîne de transmission ............................................................................................................. 13

4.1. Emetteur OFDM : ....................................................................................................................... 14

4.2. Canal de propagation : ................................................................................................................ 14

4.3. Recepteur OFDM : ..................................................................................................................... 14

4.4. Implantation numérique : .......................................................................................................... 15

4.5. Conversion série-parallèle/ parallèle- série ............................................................................... 17

4.6. Préfixe cyclique ........................................................................................................................... 18

4.7. Modulation d’amplitude en quadrature (QAM) ......................................................................... 19

5. CONCLUSION ............................................................................................................................. 20

Chapitre 3 : les circuits FPGA ................................................................................................... 22

1. Introduction : ............................................................................................................................. 22

2. Les circuits FPGA : ...................................................................................................................... 22

3. Le langage VHDL : ................................................................................................................... 24

4. Logiciel Xilinx ISE ................................................................................................................... 25

4.1. Fonctionnement du logiciel ISE : ........................................................................................... 25

4.2. Détails des blocs élémentaires dans la Virtex5 ...................................................................... 26

5. Conclusion : ............................................................................................................................... 27

Page 6: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

Chapitre 4 : Implémentation et résultat de simulation ........................................... 29

1. Introduction : ............................................................................................................................. 29

2. Implémentation et résultat : ..................................................................................................... 29

2.1. L’entrelacement .................................................................................................................... 29

2.2. Convertisseur série-parallèle ................................................................................................. 31

2.3. convertisseur parallèle- série ................................................................................................ 32

2.4. QAM16 :................................................................................................................................. 33

2.5. QAM64 : ................................................................................................................................ 34

2.6. BPSK : Binary Phase Shift Keying : ....................................................................................... 35

2.7. QPSK : .................................................................................................................................... 36

2.8. FFT ......................................................................................................................................... 36

2.9. IFFT ........................................................................................................................................ 38

2.10. Mapping : (cartographie) .................................................................................................. 40

2.11. Ajout de préfixe cyclique ................................................................................................... 41

2.12. supprimer le préfixe cyclique ............................................................................................ 42

3. Conclusion ................................................................................................................................. 42

Conclusion générale : .................................................................................................................. 43

Page 7: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

LISTES DES FIGURES :

FIGURE 1 : TRAJET MULTIPLE DANS UN CANAL RADIO .......................................................................... 6

FIGURE 2 : SCHEMA-BLOC D’UN MODULATEUR OFDM ......................................................................... 11

FIGURE 3 : SPECTRES DE QUATRE PORTEUSES ORTHOGONALES ....................................................... 12

FIGURE 4 : SPECTRE DU SIGNAL OFDM POUR 8 PORTEUSES ................................................................ 12

FIGURE 5 : DIAGRAMME EN BLOC DE LA CHAINE DE TRANSMISSION OFDM ................................. 13

FIGURE 6 : MODULATEUR OFDM NUMERIQUE. ........................................................................................ 16

FIGURE 7 : DEMODULATEUR OFDM NUMERIQUE. [10]. .......................................................................... 17

FIGURE 8: CONVERSION SERIE-PARALLELE ............................................................................................. 17

FIGURE 9: CONVERSION PARALLELE- SERIE ............................................................................................ 17

FIGURE 10 : PRINCIPE DU PREFIXE CYCLIQUE .......................................................................................... 18

FIGURE 11 : INTERVALLE DE GARDE POUR LES SYMBOLES OFDM ................................................... 18

FIGURE 12 : CONSTELLATION QAM-16 NORMALISEE (ES = 1) ............................................................... 19

FIGURE 13 : EXEMPLE D’UNE MODULATION QAM-16. ........................................................................... 20

FIGURE 14 : ARCHITECTURE A BASE DE FPGA ........................................................................................ 24

FIGURE 15: FLOT DE CONCEPTION TYPIQUE D’UNE FPGA ................................................................... 25

FIGURE 16 : L’ECRAN PRINCIPAL DE L’ISE ................................................................................................ 26

FIGURE 17 : LA PLATEFORME XUPV5-LX110T DE XILINX ...................................................................... 27

FIGURE 18 : EXEMPLE DE L’ENTRELACEMENT ........................................................................................ 29

FIGURE 19 : TOP LEVEL DE L’ENTRELACEMENT ...................................................................................... 30

FIGURE 20 : SCHEMA RTL DE L’ENTRELACEMENT .................................................................................. 30

FIGURE 21 : CONVERTISSEUR SERIE-PARALLELE ....................................................................................... 31

FIGURE 22 :SCHEMA RTL DE CONVERTISSEUR SERIE-PARALLELE ................................................... 31

FIGURE 23 : SCHEMA RTL DE CONVERTISSEURS PARALLELE- SERIE ............................................... 32

FIGURE 24 : SCHEMA RTL DE BLOC 16QAM .............................................................................................. 33

FIGURE 25 : SCHEMA RTL DE BLOC 64QAM .............................................................................................. 34

FIGURE 26 : SHEMA RTL DE BLOC BPSK .................................................................................................... 35

FIGURE 27 : TOP LEVEL DE QPSK .................................................................................................................. 36

FIGURE 28 :SHÉMA RTL DE BLOC QPSK ..................................................................................................... 36

FIGURE 29 : TOP LEVEL DE LA FFT ............................................................................................................... 37

FIGURE 30 : SHÉMA RTL DE BLOC FFT ....................................................................................................... 37

FIGURE 31 : TOP LEVEL DE LA IFFT ............................................................................................................. 38

FIGURE 32 : SHEMA RTL DU BLOC IFFT ..................................................................................................... 39

FIGURE 33 : TOP LEVEL DE BLOC MAPPING .............................................................................................. 40

FIGURE 34 : SHEMA RTL DU BLOC MAPPING............................................................................................ 40

FIGURE 35 : SCHEMA RTL D 'AJOUT DE PREFIXE CYCLIQUE ............................................................... 41

FIGURE 36 : SCHEMA RTL DE SUPPRIMER PREFIXE CYCLIQUE ........................................................... 42

LISTE DES TABLEAUX :

TABLEAU 1 : LES NORMES IEEE 802.16 ................................................................................................................... 7

TABLEAU 2 : COMPARAISON DES DIFFERENTES FAMILLES DE FPGA DE XILINX ........................... 23

TABLEAU 3 : COMPARAISON ENTRE (VIRTEX5-LX50 ET VIRTEX5-LX110T ............................................................ 26

TABLEAU 4 : CONSOMMATION DE RESSOURCE DE L’ENTRELACEMENT ............................................. 30

TABLEAU 5 : CONSOMMATION DE RESSOURCE DE CONVERTISSEUR SERIE-PARALLEL ................. 31

TABLEAU 6: CONSOMMATION DE RESSOURCE DE CONVERTISSEUR PARALLELE- SERIE ............... 33

Page 8: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

TABLEAU 7: CONSOMMATION DE RESSOURCE DE 16QAM ....................................................................... 34

TABLEAU 8: CONSOMMATION DES RESSOURCES DE BLOC 64QAM ..................................................................... 35

TABLEAU 9: CONSOMMATION DES RESSOURCES DU BLOC BPSK ........................................................................ 35

TABLEAU 10 : CONSOMMATION DES RESSOURCES DU BLOC BPSK ...................................................................... 36

TABLEAU 11: CONSOMMATION DES RESSOURCES DU BLOC FFT ......................................................................... 37

TABLEAU 12 : CONSOMMATION DES RESSOURCES DU BLOC IFFT ....................................................................... 39

TABLEAU 13: CONSOMMATION DES RESSOURCES DU BLOC MAPPING ............................................................... 41

TABLEAU 14 : CONSOMMATION DES RESSOURCES D 'AJOUT DE PREFIXE CYCLIQUE .......................................... 41

REFERENCES :

[1] Salvatore Ragusa. "Ecrêtage inversible pour l'amplification Non-linéaire des signaux

OFDM dans les terminaux mobiles 2006". Thèse doctorale, Université JOSEPH FOURIER

Juin 2006.

[2] Annick Le Glaunec, « Modulations Multi porteuses », Version à approfondir.

[3] R. W. « Chang. Synthesis of band-limited orthogonal signals for multichannel data

transmission. » Bell Syst. Tech., 45, 1775–1796, Dec. 1966. 6

[4] J.H.STOTT, << The low and why of COFDM >>, EBU Technical Review, 1998.

[5] Slim El Abed, « Conception et implémentation hardware d’un émetteur/récepteur

BFDM », rapport de projet de fin d’études, Ecole Supérieure des Communications, Tunis,

2006/2007.

[6] Nicolas Fourty, « Etude de la méthode d’étalement OFDMA sur un WMAN:

802.16e», Université de Toulouse –Le Mirail, 2006.

[7] Elias, P. (1955). Codingfor Noisy Channels. IRE Conv. Rec., Part 4, pp. 37-47.

[8] Viterbi, A. J. (April1967). Error Boundsfor Convolutional Codes and an

Asymptotically Optimum Decoding Algorithm. IEEE Trans. Inf. Theory, IT-13, pp. 260-269.

[9] Proakis J. G. (2001). Digital Communication. 4th ed., New york, N.Y.: McGrawHill.

[10] Shu Lin, Daniel J., Costello Jr. (1983). Error Control Coding: Fundamentals and

Applications. Englewood Cliffs, N.J.: Printice-Hall.

[11] Bhargava, Vijay K., Haccoun David, Matyas Robert, Nuspl Peter. (1981). Digital

Communication by satellite. New York N.Y.: J. Wiley and Sons.

[12] Malek NAOUES, «Management d'opérateurs communs dans les architectures de

terminaux multistandards», These de doctorat, LICM, Université de Carthage Ecole

Supérieure des Communications de Tunis Ecole Doctorale en TIC ; 2013.

Page 9: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

Glossaire des Abréviations :

OFDM: Orthogonal Frequency Division Multiplexing

FPGA Field Programmable Gate Array

IEEE : Institute of Electrical and Electronics Engineers

DVB-T : Digital Video Broadcast-Terrestrial

4G: 4th generation mobile communication systems

LTE: Long Term Evolution.

ADSL : Asymmetric Digital Subscriber Line

FFT : Fast Fourier transform.

IFFT : Inverse fast Fourier transform.

PSK : Phase Shift Keying

BPSK : Binary Phase Shift Keying

QPSK : Quadrature Phase Shift Keying

CP: Cyclic Prefix

QAM: Quadrature Amplitude Modulation

Page 10: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

1

Introduction générale

Les communications numériques envahissent la quasi-totalité des domaines d'activités et la

demande pour des systèmes de transmissions assurant des très hauts débits avec une qualité de

service importante ne cesse de croître. De nombreuses applications sont apparues récemment

sur la plupart des supports physiques de transmissions possibles (tant câblés qu’hertziens).

Ceci a motivé la recherche de nouveaux modes de transmissions capables de supporter des

transmissions à large bande. En effet le signal utile est perturbé par de nombreuses

dégradations lors de sa transmission (pollution par du bruit et des parasites, atténuation et

distorsion lors de la propagation,...). Pour cette raison, il est nécessaire de disposer de

techniques de transmission efficaces combinées à des algorithmes de réception adéquats. En

outre, le canal de propagation est généralement partagé entre plusieurs sources (la bande de

fréquence est par exemple partagée entre les utilisateurs dans les systèmes cellulaires) ce qui

nécessite des techniques d'accès multiples pour permettre plusieurs transmissions simultanées.

Plusieurs techniques existent pour transmettre des données sur un canal de transmission.

Celles-ci peuvent être grossièrement divisées en 3 catégories: multiplexage en temps, par code

ou en fréquence. La 3eme

technique: le multiplexage fréquentiel, a été popularisée

principalement par le succès des systèmes dits OFDM: Orthogonal Frequency Division

Multiplexing. Le principe des systèmes OFDM est d'utiliser plusieurs fréquences porteuses

pour répartir un flot d'informations à transmettre à travers un canal de transmission à large

bande sur plusieurs sous-canaux à bande étroite (chacun correspondant à une des fréquences).

Ceci explique la dénomination de transmission multi-porteuse qui est souvent utilisée pour

parler des systèmes OFDM.

Ce mémoire porte sur la conception des systèmes de transmission OFDM et étudie de

nouveaux axes de recherche visant à améliorer leur performance et leur fiabilité. Il porte plus

particulièrement sur l'un des problèmes les plus importants des systèmes OFDM. En effet à

cause de la modulation à l'émetteur des symboles à transmettre par la matrice d'IFFT, les

symboles temporels transmis effectivement sur le canal ont un module qui n'est pas constant

et varie largement. Ceci conduit à des problèmes de saturation de l'amplificateur de puissance

utilisé pour amplifier le signal avant sa transmission via l'antenne émettrice.

Page 11: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

2

L'objectif de ce projet de fin d'études est d'étudier et d'implémenter un système utilisant la

technique ODFM.

Dans le premier chapitre, on commencera par présenter le principe des bases OFDM

plus une brève description des normes IEEE 802-16;

Dans le deuxième chapitre, on présentera la structure d’un modulateur et

démodulateur OFDM.

Dans le troisième chapitre, on commencera par présenter les principaux composants

de l'architecture interne du circuit FPGA. Puis, on expliquera le flot de conception et

l'environnement de synthèse adopté dans les conceptions matérielles. Ensuite, on

s'intéressera à décrire les architectures proposées des différents modules du système

OFDM.

Dans le quatrième chapitre, on présentera les résultats de synthèse et on analysera les

performances de l'architecture proposée en termes d'utilisation de ressources internes

et en termes de rapidité.

Finalement, les conclusions des différents travaux effectués dans ce projet ainsi que

quelques perspectives possibles seront données dans un chapitre final.

Page 12: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

3

Page 13: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

4

Chapitre 1 : Présentation des systèmes

OFDM

1. Introduction :

Les techniques de modulation sur les canaux à trajets multiples sont devenues l'outil

incontournable pour concevoir et construire les futurs systèmes universels de communications

mobiles. Ces dernières années, l'intérêt pour la modulation OFDM, une solution parfaite pour

les canaux qui présentent des échos importants (canaux multitrajets), a été rétabli, alors que

dans le passé le caractère pratique de ce concept semblait être limité.

2. Histoire de l’OFDM

La modulation multiporteuse est une technique de modulation utilisée dans des normes

Européennes telles que la radiodiffusion numérique (DAB) et la diffusion de vidéo

Numérique (DVB). Les premiers systèmes de modulation multiporteuses a commencé dans

les années 50 avec un système précurseur appelé Kineplex [1], notamment dans les systèmes

militaires HF (1.8-30MHz). L’utilisation de la transformée de Fourier pour la modulation et

la démodulation fut proposée pour la première fois par Saltzberg en 1967 [2] puis par

Weinstein et en 1971 [3]. Aux États Unis la recherche avançait bien dans le domaine des

modulations OFDM et en 1968 des chercheurs américains réalisèrent deux nouveaux modems

OFDM. Le premier fonctionnait dans la bande 400 Hz - 3000 Hz et utilisait 64 porteuses

espacées de 40 Hz et modulées par une 2-PSK. Le débit atteint était de 4800 bits/sec. Le

second modem utilisait 43 porteuses sur une bande de 3 KHz dans le canal HF, chacune

utilisant une modulation 2-PSK et le débit obtenu était proche de 2500 bits/sec [4]. De

nombreuses propositions de modems OFDM ont alors suivies. Un modem utilisant une

modulation 16-QAM a été proposé. Il montre aussi que l’OFDM vérifie le critère de Nyquist,

et qu’il n’est donc pas nécessaire d’utiliser un filtrage de Nyquist. En 1979, on voyait

apparaître un modem pour la bande HF comportant 48 sous porteuses espacées de 45 Hz,

utilisant une modulation 32-QAM et atteignant un débit de 9.6 Kbit/sec [4]. Dans les années

Page 14: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

5

1980, OFDM a été largement étudié dans des domaines tels que l'enregistrement à haute

densité, modems à grande vitesse, et les communications mobiles numériques [5] - [6].

Depuis les années 1990, a été utilisée OFDM dans la transmission de données à large bande.

Les applications de la technologie OFDM comprennent la ligne d'abonné numérique

asymétrique (ADSL), débit binaire élevé ligne d'abonné numérique (HDSL), et la ligne

d'abonné numérique à très haut débit (VDSL) dans les systèmes filaires, et radiodiffusion

numérique (DAB), radiodiffusion vidéo numérique (DVB) dans les systèmes sans fil. En

outre, il a également été reconnu comme base du réseau local sans fil, normes (WLAN) [7] -

[8], parmi lesquels la norme IEEE 802.11a est l'un des plus importants.

3. Domaines d’application de l’OFDM:

L’OFDM offre des possibilités intéressantes de surpasser les capacités de système CDMA et

de fournir la méthode d'accès sans fil pour les systèmes 4G.

Le multiplexage en fréquence est bénéfique pour les transmissions dans des canaux sélectifs

en fréquence qui comportent des trajets multiples. C’est pourquoi on trouve cette technique

dans les normes de diffusion numérique du son dans des mobiles DAB (Digital Audio

Broadcasting), de télévision numérique terrestre DVB-T (Digital Vidéo Broadcasting

terrestrial) , de communications numériques hauts débits ADSL (Asynchronous Digital

Subscriber Line) sur la boucle locale téléphonique et ses dérivés ([AHV]-DSL), ainsi que

dans l’étude des normes de communications pour réseaux locaux à l’intérieur des bâtiments

de type BRAN (Broadband Radio Access Network), qui est prévu pour des débits allant

jusqu'à 54 Mbps. Grâce à sa fiabilité OFDM sera adoptée pour l'ATM sans fils.

Généralement, l’OFDM est une technologie fiable pour la transmission de données ultra-

rapide et donc, peut être utilisée pour des réseaux à fréquence unique avec des grands échos

"actifs". De tels réseaux peuvent être vus comme un arrangement cellulaire d’émetteurs qui

émettent le même signal sur la même fréquence très stable et soigneusement synchronisée et

avec le même chronométrage de symbole.

Les autres applications courantes employant OFDM incluent le GSTN (General

SwitchedTelephone Network), les modems de DSL et d'ADSL et les standards de réseau sans

fil IEEE.

Page 15: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

6

4. Caractéristiques du canal

Le canal est caractérisé par plusieurs phénomènes physiques:

• La réflexion du signal sur un obstacle.

• La réfraction du signal lorsque celui-ci traverse un milieu d'indice différent de celui d'où il

provient.

• La diffraction due à un obstacle.

Tous ces phénomènes physiques entraînent des échos (propagation par trajets multiples due

à la présence d'obstacles) pouvant engendrer des évanouissements (fadings) qui sont des «

trous de transmission » résultant de l'annulation du signal à un instant et une fréquence

donnée. Par conséquent, lorsqu'on est en réception fixe, portable ou mobile, la probabilité de

recevoir uniquement une onde directe provenant d'un émetteur est très faible. On va donc

recevoir le signal émis par l'émetteur ainsi qu'une multitude de signaux atténués et retardés

provenant des différents échos (Figure 1).

Figure 1 : Trajet multiple dans un canal radio

Comme le passage du signal à sa destination prend plusieurs trajets avec un délai entre eux,

donc au récepteur, on aura le symbole affecté par d’autres symboles en retard. Supposons

maintenant que le signal reçu arrive de deux trajets différents, avec un retard relatif entre eux.

Si on prend le symbole transmis n comme exemple, le récepteur s’efforcera de démoduler les

Page 16: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

7

données contenues dans ce symbole en examinant toutes les informations reçues (directement

ou avec un retard) par rapport à ce symbole n .

5. Présentation de la norme IEEE 802.16 :

WiMAX réunit donc plusieurs standards, tous à des états d'avancement différents, qui sont

autant d'axes de travail du groupe IEEE 802.16.

Les principales normes publiées au début de l'année 2005 sont indiquées en gras : a, d et e.

Historiquement conçu pour la partie 10-66 GHz en 2001, la norme 802.16 a concerné par la

suite, les bandes 2-11 GHz pour donner naissance en 2003, à la norme 802.16a. En Europe, la

gamme des 3,5 GHz a été retenue pour le déploiement du 802.16a ; aux États-Unis, les bandes

choisies sont proches de celles exploitées par le Wi-Fi avec 2,4 et 5 GHz. Cette portion du

spectre est celle qui concentre le plus d'applications et de développements au sein du WiMAX

Forum.

Le 802.16a a été amendé depuis, par le 802.16-2004 ce qui d'un point de vue technique

devrait entrainer l'abandon de la terminologie "a". Conduite par le groupe de travail IEEE

802.16d, cette version amendée est parfois également appelée 802.16d.

En plus du 802.16-2004 qui représente le WiMAX du début d'année 2005, figure également le

802.16.2, un standard qui définit l'interopérabilité entre toutes les solutions 802.16 et les

solutions (comme le Wi-Fi) qui sont présentes sur les mêmes bandes de fréquence.

Deux standards complémentaires on également été publiés :

« e » est considéré comme le plus avancé et le plus intéressant d'un point de vue

commercial car il apporte la mobilité (permettant à la fois le passage d'un relais à l'autre

ainsi qu'un fonctionnement embarqué en véhicule, lors de déplacements).

« f », secondaire, lequel doit spécifier une MIB pour la gestion des couches MAC et

physiques.

Tableau 1 : les normes IEEE 802.16

IEEE 802.16-2001 IEEE 802.16a-2003 IEEE 802.16-2004

La date décembre 2001 janvier 2003 juin 2004

Spectre 10–66 GHz 2–11 GHz 2–11 GHz

Propagation LoS NLoS NLoS

Débit Jusqu'à 134 Mbps

(28MHz canaux)

Jusqu'à 75 Mbps

(20MHz, canaux)

Jusqu'à 75 Mbps

(20MHz, canaux)

Page 17: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

8

Modulation QPSK, 16QAM

64QAM

BPSK, QPSK,

16QAM, 64QAM,

256QAM

subcarriers OFDM,

BPSK, QPSK,

16QAM, 64QAM,

256QAM

6. Avantages et inconvénients de l’OFDM :

Les avantages de la technique OFDM sont nombreux :

1- Le modulateur et le démodulateur peuvent être réalisés en utilisant une IFFT et

une FFT respectivement.

2- La technique OFDM dispose d’une très grande flexibilité tant dans l’allocation

de bits par sous-porteuse que dans l’allocation de débit dans un contexte multi-

utilisateurs.

3- Les interférences entre symboles (ISI) et entre porteuses (ICI) peuvent être

évitées à l’émission et corrigées en réception.

4- Les techniques multi-porteuses sont robustes en termes d’égalisation.

Malgré ces avantages, l’OFDM présente quelques points faibles :

1. L’OFDM est sensible au décalage fréquentiel qui peut causer une perte

d’orthogonalité entre les sous-porteuses.

2. L’OFDM est sensible aux non linéarités des amplificateurs. Le signal peut

présenter un PAPR (Peak to Average Power Ratio) très élevé, engendrant

la saturation des blocs analogiques.

7. Conclusion

La technique de multiplexage fréquentiel orthogonal à porteuses multiples (Orthogonal

Frequency Division Multiplexing, OFDM) est une alternative intéressante pour combattre les

évanouissements sélectifs en fréquence. Cette nouvelle technique qui permet de doubler le

débit binaire et par conséquent l'efficacité spectrale sans compromettre la largeur de bande,

est basée sur la répartition de l'information à transmettre sur un grand nombre de sous

porteuses orthogonales. Dans ce chapitre, nous avons présenté la technique de modulation

OFDM avec ses avantages et ses limites. Dans chapitre suivant, nous allons passer à la

réalisation de la chaîne de transmission OFDM, à savoir l'émetteur et le récepteur

Page 18: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

9

Page 19: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

10

Chapitre 2 : la structure d’un modulateur

et démodulateur OFDM

1. Introduction

Les problèmes de multi-trajet et de sélectivité fréquentielle sont d'autant plus importants que

le débit de transmission augmente, car la bande de fréquence nécessaire pour transporter ces

informations à haut débit augmente. Or l'effet de la sélectivité en fréquence des canaux sur la

dégradation des performances augmente avec la largeur de bande de fréquence du signal

transmis.

Dans le cas mono-porteuse, les processus d'égalisation censés compenser les effets des multi-

trajets et de la sélectivité en fréquence des canaux sont cependant d'une grande complexité

lorsque le canal varie beaucoup dans le temps. Ils nécessitent de plus la connaissance à tout

instant de la fonction de transfert du canal de transmission.

La famille des modulations multi-porteuses dont fait partie l'OFDM (Orthogonal Frequency

Division Multiplexing) permet de répondre à cet enjeu en utilisant des sous-porteuses peu

sensibles aux multi-trajets et à la sélectivité en fréquence, faciles à égaliser.

Cette technique de modulation consiste à répartir les symboles sur un grand nombre de

porteuses à bas débit, à l'opposé des systèmes mono-porteuses qui transmettent les symboles

en série, chaque symbole occupant alors toute la bande passante disponible.

2. Fondements de systèmes OFDM

Les modulations multiporteuses OFDM ont été conçues sur le principe suivant: en émission,

le signal fréquentiel est transmis sur un certain nombre N d'exponentielles complexes à des

fréquences différentes appelées sous porteuses. On répartit ainsi un flux binaire (ou de

symboles) à un rythme Td sur l’ensemble de sous-porteuses ayant chacune un débit réduit. On

obtient donc un symbole OFDM de durée Ts = N. Td . L’expression du signal OFDM sur

l’intervalle temporel [0, Ts [peut s’exprimer comme [1].

Page 20: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

11

( )

B.1

Où Ck est le symbole numérique, qui été choisi de l’ensemble de constellation de la

modulation numérique de technique M-QAM, modulé par la keme

sous porteuse, Δf c’est la

différence de fréquence entre les sous-porteuses et il est mis à Δf = 1/ Ts afin de produire des

sous porteuses orthogonales, où Ts est la durée de symbole OFDM. On peut remplacer la

variable t en (B.1) par la variable n/N, nous obtenons la relation suivante:

( )

√ ∑

B.2

Le terme en droite dans l’équation (B.2) c’est exactement l’opération IFFT (Inverse Fast

Fourier Transform) . Où

• k l’indice de sous-porteuse (domaine fréquentiel)

• Ck le symbole modulé sur la sous-porteuse k

• NFFT la taille de la FFT.

On peut représenter un modulateur OFDM par le schéma-bloc suivant :

Figure 2 : Schéma-bloc d’un modulateur OFDM

Dans un premier temps, une séquence binaire est envoyée au premier bloc afin de générer une

séquence de nombres complexes. Cette dernière sera parallélisée et chacun des nombres

complexes X[n] sera mélangé à une exponentielle complexe. Par la suite, l’addition de la

Page 21: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

12

sortie de chaque mélangeur sera effectuée afin de générer un symbole OFDM de longueur

Tsym. La longueur de ce symbole est intrinsèquement liée au nombre de mélangeurs utilisés.

Les porteuses sont conçues de manière à ce qu’elles soient orthogonales entre elles. Le spectre

relatif à chaque porteuse voit, alors, ses zéros correspondre aux fréquences centrales des

autres porteuses. Cela leur permet d’être placées très proches les unes des autres sans

interférence (figure 3 ).

Figure 3 : Spectres de quatre porteuses orthogonales

Le spectre du signal OFDM est la somme des spectres de chacune des sous- porteuses

:(condition 2 d’orthogonalité)

Figure 4 : Spectre du signal OFDM pour 8 porteuses

3. Orthogonalité Afin de ne pas créer un effet d’interférence entre les différentes sous-porteuses

(communément appelé ICI, pour Inter-Carrier Interference), les exponentielles complexes

doivent être orthogonales entre elles. L’orthogonalité de deux signaux est évaluée en fonction

Page 22: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

13

du résultat de leur produit scalaire. Dans le cas de signaux continus, nous évaluons le produit

scalaire de deux exponentielles par la formule suivante :

En posant la période de chacune des exponentielles complexes comme un multiple entier

d’une fréquence fondamentale de période Tsym (ex : fk = k/Tsym, fi = i/Tsym) l’orthogonalité

(ou produit scalaire égal à 0) entre deux exponentielle est respectée lorsque l’entier k est

différent de l’entier i :

4. Chaîne de transmission Le diagramme en bloc de la chaîne de transmission OFDM est représenté en 5.

Figure 5 : Diagramme en bloc de la chaîne de transmission OFDM

Cette chaîne de transmission est constituée des éléments suivants :

S/P

IFFT

P/S

Source Binaire

Codage

Source

Codage

Canal

Mapping

HF

Canal

HF

P/S

FFT

S/P

Information

Décodage

Source

Décodage

Canal

Dé-

Mapping

Page 23: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

14

4.1. Emetteur OFDM : A. Partie numérique

Source d’information : à cette étape interviennent des capteurs pour transformer l’information

de sa forme physique (onde sonore, lumière, chaleur...) en un signal électrique. Le signal

électrique est ensuite transformé en séquences de bits d’information;

Codage source : cette étape se charge d’enlever la redondance dans les bits d’information et

d’effectuer une compression des données;

Codage canal : ce codage consiste à ajouter une redondance pour protéger les bits

d’information contre des éventuels parasites introduits par le canal;

Modulation binaire/M-aire: des symboles d’information sont générés suivant un alphabet

donné (BPSK, QPSK, M-PSK, QAM-16...etc.), en modifiant l’amplitude et/ou la phase des

symboles en fonction des paquets de bits d’information.

Modulation OFDM: Modulation multiporteuses comprenant une conversion série/parallèle,

une IFFT et une conversion parallèle/série.

Insertion du préfixe cyclique ou du zero padding : ajoute une redondance ou des zéros à

chaque symbole OFDM permettant d’éviter l’interférence entre symboles OFDM utile et une

égalisation simple du canal.

B. Partie analogique

Conversion numérique/analogique: le signal numérique est converti en un signal électrique

analogique;

Filtrage : le signal électrique bande de base est filtré afin de supprimer les répétitions du

spectre obtenues lors de la conversion numérique/analogique;

Transposition : la transposition en fréquence est effectuée pour porter le signal de la bande de

base autour de la fréquence porteuse. Cette transposition est obtenue grâce à des mélangeurs et

à un ou plusieurs oscillateurs locaux.

Amplificateur de puissance : la puissance du signal est augmentée pour qu’il puisse résister à

l’atténuation du canal;

Antenne d’émission: le signal électrique est transformé en une onde électromagnétique en

espace libre;

4.2. Canal de propagation :

Canal de propagation: le canal correspond à l’environnement physique dans lequel l’onde du

signal se propage; dans le cas des télécommunications mobiles, ce milieu est l’air. Il introduit

plusieurs sortes de distorsions comme l’effet Doppler ou l’effet multitrajets;

4.3. Recepteur OFDM :

A. Partie analogique

Page 24: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

15

Antenne de réception : l’onde électromagnétique est transformée en un signal électrique. Mais

l’antenne capte aussi du bruit thermique dont la puissance est proportionnelle à la bande

passante de l’antenne;

Amplificateur faible bruit : le signal qui a subi l’atténuation du canal est amplifié;

Transposition : le spectre du signal qui est centré autour de la fréquence porteuse est ramené

en bande de base. Cette transposition est obtenue grâce à des mélangeurs et à un ou plusieurs

oscillateurs locaux;

Filtrage : le signal électrique bande de base est filtré afin d’éviter le repliement spectral lors de

l’échantillonnage effectué par la conversion analogique/numérique;

Conversion analogique/numérique : le signal électrique analogique est converti en un signal

numérique.

B. Partie numérique

Suppression du préfixe cyclique ou du zero padding;

Démodulation OFDM : l’opération duale de la modulation est réalisée grâce à la FFT

Estimation et gallisation : la dispersion du canal est estimée grâce à des symboles connus du

récepteur. Les symboles reçus affectés par le canal sont ensuite compensés;

Démodulation M-aire/binaire : les symboles reçus sont reconvertis en paquets de bits;

Décodage canal et décodage source : cette étape supprime les redondances ajoutées à

l’émission et corrige certaines erreurs. Les données sont ensuite décompressées en insérant les

redondances enlevées lors du codage source à l’émission;

Informations : les données sont transformées de forme électrique en forme physique.

4.4. Implantation numérique : Dans les communications à haut débit, les débits sont limités par des contraintes physiques :

le bruit dû aux imperfections des systèmes et la nature physique des composants affectent la

transmission du signal émis. On réduit dans ce cas les erreurs de transmission en numérisant

les informations. De plus l'implantation numérique offre aussi l'opportunité d'ajouter des

codes correcteurs d'erreurs afin de protéger notre signal des perturbations engendrées par le

canal de transmission.

A. Implémentation numérique du modulateur :

L'analyse algébrique indique que le signal de sortie ( ) est sous la forme :

( ) ∑

(B.3)

En discrétisant ce signal et en le ramenant en bande de base pour l'étude numérique, on

obtient une sortie sous la forme :

Page 25: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

16

(B.4)

Les sont donc obtenus par une transformée de Fourier inverse discrète des .

En choisissant le nombre de porteuses N tel que N = 2n, le calcul de la transformée de Fourier

inverse se simplifie et peut se calculer par une simple IFFT nous conduisant au schéma

numérique suivant :

Figure 6 : Modulateur OFDM numérique.

B. Implantation numérique du démodulateur :

L'analyse théorique définit le signal discrétisé reçu au niveau du démodulateur sous la forme:

( ) (

) ∑

(B.7)

est la transformée de Fourier discrète inverse de a démodulation consiste donc à

effectuer une transformée de Fourier directe discrète. Le nombre de porteuses ayant été choisi

tel que N = 2n, on peut réaliser ce calcul à l'aide d'une FFT. On obtient alors le schéma de

principe suivant [4] :

Page 26: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

17

Figure 7 : Démodulateur OFDM numérique. [10].

4.5. Conversion série-parallèle/ parallèle- série Le but du convertisseur série-parallèle est de recevoir les données qui vont transmettre. Le

convertisseur série-parallèle reçoit en série les bits M à transmettre, et ces bits seront divisés

en N sous-blocs de bits de chaque sous-bloc mn appelés symboles. Le convertisseur série-

parallèle au niveau du récepteur a pour fonction de recevoir des données qui vont être

démodulé, avec la même structure telle qu'elle était à l'émetteur.

Figure 8: Conversion série-parallèle

Figure 9: Conversion parallèle- série

Le convertisseur parallèle-série est la fonction inverse du convertisseur série -parallèle et il est

placé juste avant l'envoi des données via le canal par le convertisseur numérique-analogique à

l'émetteur.

Page 27: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

18

4.6. Préfixe cyclique

Considérons que h comporte L trajets se caractérisant, respectivement, par l et l les retards et

les atténuations relatifs à chaque trajet l. A cause de la mémoire du canal, les L dernières

composantes du bloc OFDM xm−1 interfèrent avec les L premières composantes du bloc

OFDM xm. Cela donne naissance à un phénomène d’IES qui vient dégrader la qualité de la

liaison. Une astuce, appelée préfixe cyclique, est utilisée dans les systèmes OFDM actuels

dans le but d’éliminer ce phénomène d’IES. Le but est d’introduire de la redondance.

Figure 10 : Principe du préfixe cyclique

Elle consiste, alors, à ajouter un espace entre les symboles OFDM d’une durée supérieure à

l’étalement des délais. Ainsi les derniers échos du symbole OFDM d’indice m auront lieu

durant cet intervalle dit de garde, et le symbole OFDM suivant d’indice m+1 ne sera plus

perturbé par le précédent. En pratique, ajouter un préfixe cyclique de taille D, telle que D ≥ L

−1, consiste à étendre le symbole OFDM en copiant les D dernières composantes et les placer

à l’avant de ce même symbole .

Pour résister contre les interférences, on ajoute un intervalle de garde d’une durée ∆ comme

l’indique la figure suivante :

Figure 11 : Intervalle de garde pour les symboles OFDM

Afin que les interférences soient éliminées, il faut que l’intervalle de garde soit plus grand que

le plus grand des retards Tm qui apparaissent sur le canal. En pratique, on choisit l’intervalle

de garde de durée égale au quart du temps symbole OFDM, ce qui représente un bon

compromis entre la diminution des erreurs et la perte du débit utile.

Page 28: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

19

4.7. Modulation d’amplitude en quadrature (QAM) La génération des nombres complexes s’effectue généralement par l’implémentation d’une

modulation d’amplitude en quadrature, mieux connue sous l’acronyme QAM. Premièrement,

une constellation de M points est choisie en fonction du débit numérique souhaité. Par la suite,

la séquence binaire est divisée en blocs de log2(M) bits et dépendamment de la constellation

choisie, deux séquences distinctes seront générées : la séquence en phase et la séquence en

quadrature. À la figure 12, nous avons un exemple de la cartographie d’une constellation

normalisée en 16 points (M = 16) :

Figure 12 : Constellation QAM-16 normalisée (Es = 1)

La constellation possédant 16 points distincts, quatre bits (log2(16)) sont nécessaires afin de

pouvoir sélectionner tous les nombres complexes possibles. Par la suite, dépendamment de la

constellation utilisée, la génération des nombres complexes s’effectue simplement à l’aide

d’une table de consultation (LUT ou look-up table) et s’implémente donc facilement en

pratique. La figure 12 représente bien la modulation d’une courte séquence binaire en

symboles QAM, à l’aide de la constellation illustrée à la figure 13 :

Page 29: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

20

Figure 13 : Exemple d’une modulation QAM-16.

5. CONCLUSION Dans ce chapitre, nous avons présenté la notion du système de transmission OFDM ainsi que

les notions de la modulation multi-porteuse OFDM. Enfin nous allons décrire l’intervalle de

garde pour les symboles OFDM. Ce chapitre présente ainsi le bagage nécessaire afin de bien

comprendre l’architecture de transmission d’un système OFDM.

Page 30: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

21

Page 31: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

22

Chapitre 3 : les circuits FPGA

1. Introduction :

Dans ce chapitre, on commence par présenter l'architecture cible FPGA « VIRTEX 5 » ainsi

que ses ressources internes. Puis, on décrit l'environnement de synthèse et le flot de

conception adopté.

2. Les circuits FPGA : Au niveau le plus élevé, un FPGA est un circuit en silicium reprogrammable. À l'aide de blocs

logiques préconstruits et de ressources de routage programmables, vous pouvez configurer ce

circuit afin de mettre en œuvre des fonctionnalités matérielles personnalisées, sans avoir

jamais besoin d'utiliser une maquette ou un fer à souder. Il vous suffit de développer des

tâches de traitement numérique par logiciel et de les compiler sous forme de fichier de

configuration ou de flux de bits contenant des informations sur la manière dont les

composants doivent être reliés. En outre, les FPGA sont totalement reconfigurables et peuvent

adopter instantanément une nouvelle « personnalité » si vous recompilez une nouvelle

configuration de circuits. Jusqu'à présent, seuls des ingénieurs particulièrement expérimentés

en matière de conception de matériel numérique pouvaient utiliser la technologie FPGA.

Toutefois, la généralisation des outils de conception de haut niveau est en train de modifier les

règles de la programmation de FPGA, grâce à de nouvelles technologies permettant de

convertir des diagrammes graphiques ou même du code C ANSI en circuits matériels

numériques.

Si les FPGA rencontrent un tel succès dans tous les secteurs, c'est parce qu'ils réunissent le

meilleur des ASIC et des systèmes basés processeur. Ainsi, ils offrent un cadencement par

matériel qui leur assure vitesse et fiabilité, mais sont plus rentables que les ASIC

personnalisés. Les circuits reprogrammables jouissent également de la même souplesse

d'exécution logicielle qu'un système basé processeur, mais ils ne sont pas limités par le

nombre de cœurs de traitement disponibles. Contrairement aux processeurs, les FPGA sont

vraiment parallèles par nature, de sorte que plusieurs opérations de traitement différentes ne

Page 32: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

23

se trouvent pas en concurrence pour l'utilisation des ressources. Chaque tâche de traitement

indépendante est affectée à une section spécifique du circuit, et peut donc s'exécuter en toute

autonomie sans dépendre aucunement des autres blocs logiques. En conséquence, vous

pouvez accroître le volume de traitement effectué sans que les performances d'une partie de

l'application n'en soient affectées pour autant.

Les cinq principaux atouts de la technologie FPGA :

Performances

Temps de mise sur le marché

Coût

Fiabilité

Maintenance à long terme

2.1. Choix du FPGA :

Lorsque vous étudiez les spécifications d'un circuit FPGA, souvenez-vous qu'ils sont souvent

constitués de différents éléments : des blocs logiques configurables, comme des slices

(tranches) ou des cellules logiques ; de la logique fixe, comme des multiplicateurs ; des

ressources de mémoire, comme des blocs de RAM intégrés. Bien que les FPGA contiennent

bien d'autres composants, ceux mentionnés sont généralement les plus déterminants lorsqu'il

s'agit de choisir et de comparer des FPGA pour une application particulière.

2.2. Spécifications de différentes familles de FPGA :

Tableau 2 : comparaison des différentes familles de FPGA de Xilinx

Le tableau de comparaison ci-dessus montre les spécifications de différentes familles de

FPGA de Xilinx. Le nombre de portes est un moyen habituel de comparer la taille des circuits

Page 33: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

24

FPGA par rapport à la technologie ASIC ; cependant ce critère ne donne pas vraiment le

nombre de composants qui constituent un FPGA. C'est notamment pourquoi Xilinx n'a pas

précisé le nombre de portes pour sa nouvelle famille Virtex-5. Pour obtenir davantage

d'informations sur le fonctionnement des FPGA.

Figure 14 : Architecture a base de FPGA

3. Le langage VHDL :

VHDL (VHSIC Hardware description Language )comme n'importe quel langage de

programmation logiciel fournit les constructions de langage de haut niveau qui permettent à

des concepteurs de spécifier de grands circuits. Il contient également les caractéristiques des

langages de bas niveau comme la Netlist. Il supporte la création des composants pour la

réutilisation dans des conceptions futures. Puisque c'est un langage standard, et la plupart des

constructions VHDL sont Synthétisable, VHDL fournit la portabilité du code entre les outils

de synthèse et de simulation, aussi bien que les conceptions indépendantes du dispositif. Il

facilite également la conversion d’une conception FPGA en implémentation ASIC.

L'inconvénient de ce langage est que ce langage n'est pas complètement évolué, le langage

s'attend à ce que toujours le concepteur connaisse quelques détails du matériel de leur

conception. Puisque la plupart des outils de synthèse ne peuvent pas synthétiser les

constructions comportementales du langage, les constructions comportementales sont

employées seulement dans la simulation comportementale, ainsi le langage est employé la

plupart du temps dans notre travail pour spécifier la conception dans le niveau RTL.

Page 34: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

25

Une conception typique pour FPGA implique quatre étapes, ils sont décrits brièvement ci-

dessous

Figure 15: Flot de conception typique d’une FPGA

4. Logiciel Xilinx ISE

ISE est un environnement intégré de développement de systèmes numériques ayant pour but

la synthèse/implémentation matérielle sur FPGA. Les designs peuvent être décrits sous trois

formes principales : sous forme de schémas, sous forme de HDL ou bien sous forme de

diagrammes d'états. Pour cela, ISE intègre différents outils permettant de passer à travers tout

le flot de conception d’un système numérique. Il inclut des éditeurs et outils pour la saisie du

système, il dispose aussi d'un 86 ensemble d'outils, de synthèse et d'implémentation,

regroupés dans un seul flot de conception. Les détails de ces outils sont donnés comme suit : ƒ

la description de circuits numériques sous forme de schémas logiques, de machines à

étatsfinis ou en langages de description matériel (VHDL, Verilog, ABEL),

la compilation, la simulation comportementale,

la synthèse, le placement routage et l’implémentation,

la simulation temporelle et l’analyse de timing,

la programmation sur les circuits programmables de Xilinx (CPLD et FPGA).

4.1. Fonctionnement du logiciel ISE : Toutes les fonctions de la suite ISE sont accessibles à partir du navigateur Xilinx ISE.L’écran

principal du navigateur est composé de plusieurs fenêtres qui sont montrées à la Figure

Page 35: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

26

suivante :

Figure 16 : L’écran principal de l’ISE

4.2. Détails des blocs élémentaires dans la Virtex5

Le tableau suivant montre les détails des 2 circuits FPGA (Virtex5-LX50 et Virtex5-

LX110T). Pour comparer ces deux cartes avec les autres cartes Virtex5, consulter le tableau

dans l’annexe A1.

Tableau 3 : comparaison entre (Virtex5-LX50 et Virtex5-LX110T

Les différentes caractéristiques des 2 circuits Virtex5 (LX50 et LX110T

Page 36: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

27

Figure 17 : La plateforme XUPV5-LX110T de Xilinx

5. Conclusion : Voici donc un bref aperçu concernant l’architecture du FPGA et langage de description machine

qu’est le VHDL .Il permet de concevoir ses propres circuits logiques et électroniques, de tester et

même de simuler. Tant théoriquement (code) que temporellement (test sur les temps d'’accès).

Page 37: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

28

Page 38: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

29

Chapitre 4 : Implémentation et résultat de

simulation

1. Introduction :

Dans le chapitre précédent, on a étudié et évalué les performances d'une chaîne de

transmission OFDM utilisant la modulation multi-porteuse en utilisant l'outil VHDL. Dans ce

chapitre, on aborde la phase de l'implémentation matérielle des différents blocs de la chaîne.

Cette implémentation consiste tout d'abord à concevoir pour chaque bloc une structure

simplifiée pour le traitement de données garantissant ainsi la facilité de l'implémentation, de

test, de validation et du bon fonctionnement de la structure tout en satisfaisant les contraintes

temporelles exigées en fonction de l'application.

2. Implémentation et résultat :

2.1. L’entrelacement La principale tâche de cette opération est de modifier les flux de données afin de réduire

l'effet des erreurs introduites dans le signal dans le canal de transmission. Cela dépend du

système de codage de canal (décodage de Viterbi ou Turbo-code) utilisé pour récupérer les

données d'origine. Il y a deux entrelacements; Le premier entrelacement est un entrelacement

par bloc appelé inter-trame. Les opérations effectuées sont des permutations de colonnes dont

l’ordre est prédéfini. Le deuxième entrelacement appelé intra-trames est réalisé par blocs. Les

bits d’entré sont structurés sous forme de matrice. Les permutations interviennent entre

colonnes.

Figure 18 : Exemple de l’entrelacement

Page 39: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

30

Figure 19 : Top level de l’entrelacement

Figure 20 : Schéma RTL de l’entrelacement

Tableau 4 : consommation de ressource de l’entrelacement

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice Registers 253 126800 0%

Number of Slice LUTs 248 63400 0%

Number of fullyused LUT-FF pairs 184 317 58%

Number of bondedIOBs 60 210 28%

Number of Block RAM/FIFO 1 135 0%

Number of BUFG/BUFGCTRLs 1 32 3%

Page 40: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

31

2.2. Convertisseur série-parallèle

Tout d'abord le convertisseur série-parallèle est utilisé pour convertir les données de

la forme série à la forme parallèle.

Figure 21 : Convertisseur série-parallèle

Figure 22 :Schéma RTL de convertisseur série-parallèle

Tableau 5 : consommation de ressource de convertisseur série-parallèl

DeviceUtilizationSummary

Slice LogicUtilization Used Available Utilization Note(s)

Number of Slice Registers 2 126,800 1%

Number of Slice LUTs 2 63,400 1%

Numberused as logic 2 63,400 1%

Page 41: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

32

Numberused as Memory 0 19,000 0%

Number of occupied Slices 1 15,850 1%

Number of LUT Flip Flop pairs used 3

Numberwith an unused Flip Flop 1 3 33%

Numberwith an unused LUT 1 3 33%

Number of fullyused LUT-FF pairs 1 3 33%

Number of unique control sets 1

Number of slice register sites lostto control set restrictions 6 126,800 1%

Number of bondedIOBs 7 210 3%

Number of RAMB36E1/FIFO36E1s 0 135 0%

Number of RAMB18E1/FIFO18E1s 0 270 0%

Number of BUFG/BUFGCTRLs 1 32 3%

Number of IDELAYE2/IDELAYE2_FINEDELAYs 0 300 0%

Number of ILOGICE2/ILOGICE3/ISERDESE2s 2 300 1%

Number of OLOGICE2/OLOGICE3/OSERDESE2s 0 300 0%

Number of PHASER_IN/PHASER_IN_PHYs 0 24 0%

2.3. convertisseur parallèle- série

Le convertisseur parallèle- série est utilisé pour convertir les données de la forme

parallèle à la forme série il peut être programmé en utilisant VHDL.

Figure 23 : Schéma RTL de convertisseurs parallèle- série

Page 42: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

33

Tableau 6: consommation de ressource de convertisseur parallèle- série

DeviceUtilizationSummary (estimated values)

LogicUtilization Used Available Utilization

Number of Slice Registers 5 126800 0%

Number of Slice LUTs 1 63400 0%

Number of fullyused LUT-FF pairs 0 6 0%

Number of bondedIOBs 7 210 3%

Number of BUFG/BUFGCTRLs 1 32 3%

2.4. QAM16 :

Le bloc suivant est (QAM) qui est utilisé comme un type de modulation numérique

complexe.

.

Figure 24 : Schéma RTL de bloc 16QAM

Page 43: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

34

Tableau 7: consommation de ressource de 16QAM

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice LUTs 8 63400 0%

Number of fullyused LUT-FF pairs 0 8 0%

Number of bondedIOBs 40 210 19%

Number of BUFG/BUFGCTRLs 1 32 3%

2.5. QAM64 :

Figure 25 : Schéma RTL de bloc 64QAM

Page 44: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

35

Tableau 8: consommation des ressources de bloc 64QAM

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice LUTs 18 63400 0%

Number of fullyused LUT-FF pairs 0 18 0%

Number of bondedIOBs 42 210 20%

Number of BUFG/BUFGCTRLs 1 32 3%

2.6. BPSK :

Figure 26 : shéma RTL de bloc BPSK

Tableau 9: consommation des ressources du bloc BPSK

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice LUTs 1 63400 0%

Number of fullyused LUT-FF pairs 0 1 0%

Number of bondedIOBs 37 210 17%

Number of BUFG/BUFGCTRLs 1 32 3%

Page 45: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

36

2.7. QPSK :

Figure 27 : Top level de QPSK

Figure 28 :Shéma RTL de bloc QPSK

Tableau 10 : consommation des ressources du bloc BPSK

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice LUTs 4 63400 0%

Number of fullyused LUT-FF pairs 0 4 0%

Number of bondedIOBs 38 210 18%

Number of BUFG/BUFGCTRLs 1 32 3%

2.8. FFT

Comme on le voit les résultats de simulation pour IFFT qui est le processus inverse de la

FFT est effectivement expliqué.

Page 46: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

37

Figure 29 : top level de la FFT

Figure 30 : Shéma RTL de bloc FFT

Tableau 11: consommation des ressources du bloc FFT

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Page 47: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

38

Number of Slice Registers 273 126800 0%

Number of Slice LUTs 470 63400 0%

Number of fullyused LUT-FF pairs 262 481 54%

Number of bondedIOBs 69 210 32%

Number of BUFG/BUFGCTRLs 1 32 3%

2.9. IFFT

Figure 31 : top level de la IFFT

Page 48: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

39

Figure 32 : shéma RTL du bloc IFFT

Tableau 12 : consommation des ressources du bloc IFFT

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice Registers 241 126800 0%

Number of Slice LUTs 368 63400 0%

Page 49: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

40

Number of fullyused LUT-FF pairs 230 379 60%

Number of bondedIOBs 69 210 32%

Number of BUFG/BUFGCTRLs 1 32 3%

2.10. Mapping : (cartographie)

Figure 33 : top level de bloc mapping

Figure 34 : shéma RTL du bloc Mapping

Page 50: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

41

Tableau 13: consommation des ressources du bloc Mapping

DeviceUtilizationSummary (estimated values) [-]

LogicUtilization Used Available Utilization

Number of Slice LUTs 66 63400 0%

Number of fullyused LUT-FF pairs 0 66 0%

Number of bondedIOBs 41 210 19%

2.11. Ajout de préfixe cyclique

Théoriquement, pour obtenir le préfixe cyclique, le vecteur complexe de longueur à

la fin de la durée de symbole T est copiée et ajoutée à l'avant du bloc de signal].

Figure 35 : Schéma RTL d 'ajout de préfixe cyclique

Tableau 14 : consommation des ressources d 'ajout de préfixe cyclique

Page 51: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

42

2.12. supprimer le préfixe cyclique

Dans la figure suivant nous pouvons supprimer le préfixe cyclique ajouté à

l'émetteur.

Figure 36 : Schéma RTL de supprimer préfixe cyclique

On remarque que les blocs FFT, l’IFFT et l’entrelacement présentent la plus grande

consommation en ressource FPGA par rapport aux autres blocs d’une chaine de transmission

OFDM.

On remarque aussi pour que la consommation reste toujours faibles ce qui nous autorise à

intégrer d’autre étages de calcule en parallèle, ce qui conduit à l’augmentation de la fréquence

de traitement et ainsi débit.

3. Conclusion Ce chapitre propose une implémentation d’un système de transmission OFDM.

L‘implémentation a été décrite par le VHDL utilisant l’ISE de Xilinx. Le rapport donner par

ISE a montré la grande consommation du FFT et IFFT dans le FPGA.

Page 52: Chapitre 4 : Implémentation et résultat de simulationbiblio.univ-annaba.dz/ingeniorat/wp-content/uploads/2018/03/NOUA… · s'intéressera à décrire les architectures proposées

43

Conclusion générale :

Le travail de ce mémoire se situe au niveau d’un maillon de la chaîne de transmission, à

savoir, la modulation OFDM. L’objectif du travail est d’implémenter un système de

transmission OFDM sur FPGA Virtex 5.

Les systèmes numériques présentent de nombreux avantages dans le domaine de la

transmission de l’information. Plus particulièrement, les circuits reconfigurables de type

FPGA sont séduisants, par leur faible coût, leurs possibilités d’évolution importantes et leur

intérêt économique pour les productions en petite série.

Un modulateur OFDM a été implémenté et testé en utilisant le VHDL, ce modulateur utilise

en son cœur un algorithme de type FFT, puis la consommation de ce modulateur en terme

d’occupation de surface dans le FPGA a été évaluée, utilisant le logiciel ISE.